首页 Active_HDL_9_2教程

Active_HDL_9_2教程

举报
开通vip

Active_HDL_9_2教程Active HDL 9.2教程 2013-9-26 电子科技大学 目录 一. 安装    1 1.1 安装Active_HDL_9.2sp1_main_setup.exe    1 1.2 安装升级(Active_HDL_9.2sp1_Update2_2013_05_15.exe)    6 1.3 破解    9 二. Demo(adder_4_bit.vhd)    12 2.1 adder_4_bit    12 2.1.1 新建工作区    12 2.1.2 新建xx.vhd文件(VHDL代码文件)  ...

Active_HDL_9_2教程
Active HDL 9.2教程 2013-9-26 电子科技大学 目录 一. 安装    1 1.1 安装Active_HDL_9.2sp1_main_setup.exe    1 1.2 安装升级(Active_HDL_9.2sp1_Update2_2013_05_15.exe)    6 1.3 破解    9 二. Demo(adder_4_bit.vhd)    12 2.1 adder_4_bit    12 2.1.1 新建工作区    12 2.1.2 新建xx.vhd文件(VHDL代码文件)    14 2.1.3 编写代码    20 2.1.4 编译代码    21 2.1.5 仿真    23 2.2 decoder_led    28 附录    32 1. Aldec简介    32 2. Active HDL简介    32 3. Active HDL自带帮助    33 一. 安装 1.1 安装Active_HDL_9.2sp1_main_setup.exe 图1.1 图1.2 图1.3 图1.4 图1.5 图1.6 图1.7 图1.8 图1.9 图1.10 图1.11 1.2 安装升级(Active_HDL_9.2sp1_Update2_2013_05_15.exe) 图1.12 图1.13 图1.14 图1.15 图1.16 1.3 破解 图1.17 图1.18 图1.19 图1.20 图1.21 图1.22 二. Demo(adder_4_bit.vhd) 2.1 adder_4_bit 2.1.1 新建工作区 File New Workspace 图2.1 图2.2 图2.3 图2.4 图2.5 图2.6 2.1.2 新建xx.vhd文件(VHDL代码文件) File New VHDL Source 图2.7 图2.8 单击new Name框输入:clk Array框不输入(因为只有一位,不是向量变量) Port direction选项:选择 in 结果如图2.9 图2.9 图2.10 图2.11 图2.12 图2.13 图2.14 图2.15 图2.16 图2.17 2.1.3 编写代码 图2.18 2.1.4 编译代码 图2.19 图2.20 2.1.5 仿真 图2.21 图2.22 图2.23 图2.24 图2.25 图2.26 图2.27 图2.28 图2.29 图2.30 图2.31 2.2 decoder_led 附录 1. Aldec简介 Aldec公司是一家民营电子设计自动化公司。该公司成立于1984年,公司总部位于美国内华达州的亨德森,在欧洲(英国),日本,以色列,印度,中国,台湾,波兰和乌克兰也都设有办事处。能提供各种专利技术套件,如:RTL设计,RTL模拟器,硬件辅助验证,设计规则检查,IP核,DO-254的功能验证和军事/航空航天的解决方案,主营产品有Active-HDL, Riviera, SFM, HES,在电子设计行业处于领先地位。Aldec公司提供用于创建和验证数字设计的FPGA和ASIC技术的软件和硬件。作为Accellera和IEEE 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 协会的成员,Aldec公司积极参与制定新标准,并更新现有的标准(如VHDL、SystemVerilog等)。Aldec的HDL仿真功能能添加到其他EDA工具(如Altium )中去,并且其开发套件也能用于其他FPGA设计软件(如lattice 的FPGA器件)。Aldec公司的官网网址: 图1.1 2. Active HDL简介 Active-HDL是围绕共同核心的HDL模拟器所构建的FPGA开发环境。支持基于文本和图形设计输入和调试工具,允许混合语言仿真(VHDL/ Verilog/ EDIF/ SystemC/ SystemVerilog),并提供统一的接口以及各种合成和实施工具。此外,还支持基于开源软件的验证、PSL或SystemVerilog断言语句。特别版本的软件只支持单一的FPGA供应商所提供的,例如: Active-HDL莱迪思版仅适于在MS Windows平台上使用。 Active-HDL是集成VHDL,Verilog,EDIF,System C开发环境。它由设计工具,VHDl&Verilog编译器,单仿真内核,调试工具,图形仿真和资源、库等管理工具,可让用户运行仿真,综合,实现,以及第三方工具。 Aldec公司所提供的高阶FPGA及ASIC设计和验证环境—Active-HDL,能够协助工程人员进行电信、军事,或者消费性电子等应用的硬体实现。Aldec公司所提供的高阶FPGA及ASIC设计和验证环境Active-HDL,能够协助工程人员进行电信、军事,或者消费性电子等应用的硬体实现。Active-HDL能够和业界标准相容,如IEEE、ISO、IEC及其它标准等,它为您的设计提供了极广的覆盖率及支援。Active-HDL能够和业界标准相容,如IEEE、ISO、IEC及其它标准等,它为您的设计提供了极广的覆盖率及支援。 其它强大的功能和工具,如程式码覆盖率分析(Code Coverage Analysis),图表编辑器,和状态图表编辑器,都能协助您以非平行的方式检视您的设计元素。其它强大的功能和工具,如程式码覆盖率分析(CodeCoverageAnalysis),图表编辑器,和状态图表编辑器,都能协助您以非平行的方式检视您的设计元素。Active-HDL具备除错工具,能支援Soft或Hard IP Core元件;其它的特色如图形化使用介面、程式语法、或混合模式开发都能加快您的设计速度。Active-HDL具备除错工具,能支援Soft或HardIPCore元件;其它的特色如图形化使用介面、程式语法、或混合模式开发都能加快您的设计速度。 3. Active HDL自带帮助 Help Product Help 图3.1
本文档为【Active_HDL_9_2教程】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_219945
暂无简介~
格式:doc
大小:90KB
软件:Word
页数:29
分类:互联网
上传时间:2019-04-30
浏览量:61