首页 IC数字前端数字后端流程与工具

IC数字前端数字后端流程与工具

举报
开通vip

IC数字前端数字后端流程与工具19十月2020IC数字前端数字后端流程与工具Notes本PPT内容是整个DDC项目组的集体学习研究成果感谢已经毕业的曾经参与后端项目的师兄师姐,以及各位老师。闻道有先后,术业有专攻共同学习,共同进步大家有问题请直接请教熟悉相应工具的同学。Tips:可以参考QUATURSII的designflow!!Contents基于标准单元的ASIC设计流程1数字前端设计(front-end)2数字后端设计(back-end)3Q&A43教研室ASIC后端文件归档Contents基于标准单元的ASIC设计流程1数字前端设计(f...

IC数字前端数字后端流程与工具
19十月2020IC数字前端数字后端流程与工具Notes本PPT内容是整个DDC项目组的集体学习研究成果感谢已经毕业的曾经参与后端项目的师兄师姐,以及各位老师。闻道有先后,术业有专攻共同学习,共同进步大家有问题请直接请教熟悉相应工具的同学。Tips:可以参考QUATURSII的designflow!!Contents基于标准单元的ASIC设计流程1数字前端设计(front-end)2数字后端设计(back-end)3Q&A43教研室ASIC后端文件归档Contents基于标准单元的ASIC设计流程1数字前端设计(front-end)2数字后端设计(back-end)3Q&A43教研室ASIC后端文件归档基于standcell的ASIC设计流程数字前端设计。以生成可以布局布线的网表为终点。数字后端设计。以生成可以可以送交foundry进行流片的GDS2文件为终点。术语:tape-out—提交最终GDS2文件做加工;Foundry—芯片代工厂,如中芯国际。。。算法模型c/matlabcodeRTLHDLvhdl/verilogNETLISTverilogStandcelllibrary综合工具根据基本单元库的功能-时序模型,将行为级代码翻译成具体的电路实现结构LAYOUTgds2基于standcell的ASIC设计流程布局布线工具根据基本单元库的时序-几何模型,将电路单元布局布线成为实际电路版图对功能,时序,制造参数进行检查TAPE-OUTContents基于标准单元的ASIC设计流程1数字前端设计(front-end)2数字后端设计(back-end)3Q&A43教研室ASIC后端文件归档数字前端设计流程-1综合RTLfile布局布线前静态时序分析形式验证NETLISTMeetrequirements?YESNO整个ASIC设计流程都是一个迭代的流程,在任何一步不能满足要求,都需要重复之前步骤,甚至重新设计RTL代码。模拟电路设计的迭代次数甚至更多。。。数字前端设计流程-2怎样保证网表的正确性?!以往的方法是对网表文件做门级仿真。此种方式的仿真时间较长,且覆盖率相对较低。形式验证+静态时序分析。此种方法仿真时间短,覆盖率高,为业界普遍采用的方式。数字前端设计流程-3使用DC综合SYNOPSYS–DesignCompiler数字前端设计流程-4使用DC综合步骤可以归纳为:1.指定综合使用的库2.根据符号库将行为级模型转换为逻辑网表(由逻辑单元GTECH构成)3.指定综合环境以及约束4.进行综合,根据约束将逻辑网标映射为实际网表(由标准单元构成)5.优化网表6.输出综合结果数字前端设计流程-5使用DC综合ASIC的综合与FPGA的综合有什么不同?!原理是相同的!关键在于综合目标不同。FPGA综合是将逻辑映射为FPGA器件资源(如LUT,REG,MEM-BLOCK);ASIC综合是将逻辑映射为标准单元(如门电路,寄存器,RAM,ROM)。标准单元库中对于某一种功能的门电路具有不同版本,分别对应不同驱动能力。数字前端设计流程-6使用DC综合综合不仅仅要求功能,也要求时序!!综合具有一定条件,如工作频率、电路面积等。门电路沟道宽度窄,自然面积小,但是驱动能力降低,电路工作速率降低。所以要对综合进行约束!综合器中也有静态时序分析功能,用来计算当前综合结果的工作速率。使用wireloadmodel来估算延时。数字前端设计流程-7使用DC综合关于延时计算将在静态时序分析部分详细介绍。可以参考QUATURSII软件的ANALYSIS&SYNTHESIS工具学习DC。TIPS:!数字前端设计流程-8使用PT进行STASYNOPSYS–PrimeTime只是一个时序分析工具,本身不对电路做任何修改。在ASIC流程中对于电路进行任何修改过后都应该使用STA工具检查其时序,以保证电路时序满足要求。仍然采用wireloadmodel来估算电路时序。可以参考QUATURSII的timequesttiminganalyzer学习。数字前端设计流程-9延时计算采用wireloadmodel可以计算电路端到端路径延时。端到端路径:寄存器输出>>寄存器输入寄存器输出>>输出端口输入端口>>寄存器输出延时采用标准单元库查表进行运算Input:transitiontime,outputnetcapacitanceOutput:inputtooutputdelay,transitiontimeNetcapacitance使用wireloadmodel进行估算数字前端设计流程-10延时计算数字前端设计流程-11延时计算布局布线前,由于无布线信息,所以连线延时只能够通过连接关系(与fanout相关)估计得到。当特征尺寸降低时,此种估计方法越来越不准确,所以可以使用physicalsynthesis技术。在布局布线后,布局布线工具可以提取出实际布线后的线网负载电容,此时PT可以计算实际延时(back-annote)。可以将延时信息写入SDF(synopsysdelayfile)文件用于后仿真。数字前端设计流程-12PT使用流程使用方法与DC类似1.指定使用的库2.读入网表文件3.指定时序约束及工作环境4.进行静态时序分析,给出报告从一个synthesizablesubcircuit中,pt能捕获一个时序环境,并写成一系列的dc指令,在dc中用其为这个subcircuit定义时间约束和时序优化--值得关注这两个都支持用SDC(synopsysdesignconstraints)格式指定设计规则,包括时间面积约束。数字前端设计流程-13形式验证静态时序分析检查了电路时序是否满足要求,而形式验证检查了电路功能的正确性。形式验证工具本质是一个比较器!其功能就是比较两电路功能是否完全一致。由于在综合过程中电路节点名称可能改变,因此可以使用形式验证工具找到RTL代码中节点在网表中的对应节点。数字前端设计流程-14逻辑锥原理把设计划分成无数个逻辑锥(logiccone)的形式,以逻辑锥为基本单元进行验证.当所有的逻辑锥都功能相等,则验证successful!逻辑锥锥顶作为比较点.它可以由原始输出,寄存器输入,黑盒输入充当----formality自动划分数字前端设计流程-15形式验证VerifyRTLdesignsvs.RTLdesigns--thertlrevisionismadefrequentlyVerifyRTLdesignsvs.Gatelevelnetlists--verifysynthesisresults--verifymanuallycodednetlists,suchasDesignWareverifyGatelevelnetlistsvs.Gatelevelnetlists--testinsertion--layoutoptimization什么时候需要做形式验证?!Contents基于标准单元的ASIC设计流程1数字前端设计(front-end)2数字后端设计(back-end)3Q&A43教研室ASIC后端文件归档数字后端设计流程-1目前业界广泛使用的APR(AutoPlaceAndRoute)工具有:Synopsys公司的ASTROCadence公司的Encounter可以参考QUARTUSII的FITTER学习。数字后端设计流程-2哪些工作要APR工具完成?!芯片布图(RAM,ROM等的摆放、芯片供电网络配置、I/OPAD摆放)标准单元的布局时钟树和复位树综合布线DRCLVSDFM(DesignForManufacturing)数字后端设计流程-3ASTRO布局布线流程数字后端设计流程-4布图布图步骤主要完成宏单元的放置,电源规划以及PAD的摆放,布图影响到整个设计的绕线难易以及时序收敛。电源环的宽度计算:数字后端设计流程-4布图数字后端设计流程-5布局Astro是一个gridbased软件,grid分为placementgrid和routinggrid.Placementgrid就是所谓的unitTile,unitTile为一个row的最小单位,standardcell就是摆放在row上面,起摆放位置须对齐每个unitTile的边缘,因此每个standardcell都必须是同一高度。数字后端设计流程-5布局数字后端设计流程-5时钟树和复位树综合时钟树综合的目的:低skew低clocklatency在DC综合时并不知道各个时序元件的布局信息,时钟线长度不确定。DC综合时用到的线载模型并不准确。时钟树和复位树综合为什么要放在APR时再做呢?!数字后端设计流程-6时钟树和复位树综合数字后端设计流程-7布线将分布在芯片核内的模块、标准单元和输入输出接口单元(I/Opad)按逻辑关系进行互连,其要求是百分之百地完成他们之间的所有逻辑信号的互连,并为满足各种约束条件进行优化。布线工具会自动进行布线拥塞消除、优化时序、减小耦合效应、消除串扰、降低功耗、保证信号完整性等问题。数字后端设计流程-8布线Layer"METAL1"pitch=0.41Layer"METAL2"pitch=0.46Layer"METAL3"pitch=0.41Layer"METAL4"pitch=0.46Layer"METAL5"pitch=0.41Layer"METAL5"pitch=0.46Layer"METAL7"pitch=0.41Layer"METAL8"pitch=0.96数字后端设计流程-8布线数字后端设计流程-8布线第一步全局布线Globalroute进行时,整个芯片会被切割成一块块的globalroutingcell(GRC),其目的在于建立一个绕线的蓝图。对于每个GRC,Astro会去计算包含其中且可以使用的wiretrack,根据这些信息选择绕线要经过的GRC。如图所示,有一个以X为起点Y为终点的连接需要绕线,考虑到blockage和congestion的状况后,选择了变化4、9、14、19、24、23、22、21、16的GRC来绕线。数字后端设计流程-9布线第二步布线通道分配在globalroute时已经将信号线分配到每个GRC,而trackassignment的功能就是将这些信号线在分配到每个track上,决定每条线要走的路径。Trackassignment是以整个芯片为处理单位来作规划,尽量绕出又长又直且via数目最少的绕线。数字后端设计流程-10布线第三步详细布线Detailroute的工作主要是将trackassignment的DRCviolation移除,一次是以一个switchbox(SBOX)为单位来进行修复的。SBOX由GRC构成,且每个SBOX的边缘会重叠一个GRC的宽度。DFM包括:天线效应(信号线太长造成)Metalliftoff效应防止(由金属密度过大造成)Metalover-etching效应防止(由金属密度过低造成)什么是DFM呢?!数字后端设计流程-11DFMDFM:DesignForManufacturingDFM步骤在整个布局布线流程以后开始,主要目的是通过一些技术处理防止芯片在物理制造过程中出现问题,造成芯片不能工作。DFM的目的在于提高良率。数字后端设计流程-12基于标准单元的APR布局布线与FPGA有什么区别?!基本原理是一样的FPGA内部的逻辑单元以及走线资源都是固定的,布局布线工具只是完成如何使用这些资源以使得整个设计收敛。而基于标准单元的APR时,标准单元位置以及走线资源都是可以根据需要调整的,因此灵活性更大,更容易使得整个设计收敛。数字后端设计流程-13DRCDRC–DesignRuleCheck何谓DesignRule由于制造工艺与电路性能等原因,对版图设计有一定要求,比如说,线宽不能低于最低线宽,N阱间应当具有一定间距,每一层金属应当具有一定密度等等等等。天线规则:当版图中的金属线具有一定长度时,会造成天线效应。因此需要对自动APR工具的布线做检查。DUMMY:由于制造工艺要求每一层金属必须具有一定密度,因此需要工具自动往空余部分填充冗余金属。数字后端设计流程-14DRCDRC原理:基于计算机图形学!版图中的不同结构可以表示为不同的层,如:N阱P阱栅各层金属线版图中的每一个电路原件与连接线均由一系列具有一定大小,位于相应位置的矩形构成。规则检查则建模为图形性质计算数字后端设计流程-14DRC数字后端设计流程-15LVSLVS–layoutvsschematicLVS是为了检查版图文件功能与原有电路设计功能的一致性。LVS的原理:网表比对!参考网表为APR工具时钟树、复位树综合后的网表。--HDL文件比对网表为LVS工具从版图中提取电路元件以及连接关系以后得到的网表LVS软件根据标准单元库设计者提供的cdl网表文件从版图中提取电路网表。数字后端设计流程-16LVS什么时候需要做DRC/LVS?!只要对版图信息做修改,就需要做DRC/LVS检查。数字后端设计流程-17CALIBREMENTORGRAPHIC–CALIBRE专业的DRC/LVS软件,可以单独使用,也可以嵌入virtuoso,astro中联合使用。使用foundry提供的DRC/LVS检查脚本,可以自动完成DRC/LVS工作,且给出错误报告。检查出的错误需要在版图编辑工具中修改。DRC/LVS工具还有DIVA,DRACURA等。。。数字后端设计流程-18VIRTUOSOCADENCE–VIRTUOSO专业版图编辑工具,结合CALIBRE可以对版图做在线检查修改。使用方式与PROTEL类似。。VIRTUOSO生成最终流片版图数字后端设计流程-19SIGN-OUT当设计完成时,应当保证其时序,功能,工艺等指标完全达到要求,只要有任意一点不能达标,便需要重新对设计做修改!Foundry为了规避责任,故要求设计者在提交版图时签字画押,说明此版图已经经过检查,是没有错误的。。。流片很贵,大家应慎之又慎。。。Contents基于标准单元的ASIC设计流程1数字前端设计(front-end)2数字后端设计(back-end)3Q&A43教研室ASIC后端文件归档教研室现有的ASIC工具软件SYNOPSYSDesignCompiler2004,DesignCompiler2006PrimeTime2004,PrimeTime2006Formality2004,Formality2006Astro2004,Astro2006CADENCEVirtuosoic5451MENTORGRAPHICCalibre2005以及相应破解和教程教研室现有的基本单元库SMIC0.13um元件库,IOPAD库,包括综合,STA所用的.lib行为模型文件布局布线所用元件版图文件技术库(.tf,virtuoso使用)模型网表文件(.cdl)相应说明pdfARTISANRAM/ROMcompiler
本文档为【IC数字前端数字后端流程与工具】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_769254
暂无简介~
格式:ppt
大小:2MB
软件:PowerPoint
页数:0
分类:企业经营
上传时间:2020-05-18
浏览量:11