首页 电子电工实训报告册

电子电工实训报告册

举报
开通vip

电子电工实训报告册....PAGE/NUMPAGES....电工电子焊接实习部分第一部分电子技术安全知识及元器件基础一、填空:1.通常所说的触电就是_________;通常情况下的安全电压是________V以下。2.所谓电击强度指的是____________和____________的乘积。3.电阻器在电路中多用来进行________、_________、________、_______等。4.电阻按材料可分为_________、_________、_________等类型;按用途可分为_________、______...

电子电工实训报告册
....PAGE/NUMPAGES....电工电子焊接实习部分第一部分电子技术安全知识及元器件基础一、填空:1.通常所说的触电就是_________;通常情况下的安全电压是________V以下。2.所谓电击强度指的是____________和____________的乘积。3.电阻器在电路中多用来进行________、_________、________、_______等。4.电阻按材料可分为_________、_________、_________等类型;按用途可分为_________、_________、_________等类型。5.电位器实际上是一种_________器件,按阻值变化规律可以分为_________、_________、_________等类型。6.电容器的结构是由两层__________,中间是___________。在半导体收音机中最常见的有__________、__________、__________、__________等类型。7.电容C=________,常用单位是_______,1F=________μF=_________pF。8.电子元器件规格标注 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 有__________、__________、__________。9.二极管的特性是__________。二极管按照所用半导体材料可分为____________和____________类型。10.如果把二极管接到交流电源上,就能把交流电转变为_________,这个过程叫_________;如果加的交流电压是_________,这个过程就叫_________。二极管在收音机中主要用途就是_________和_________。11.三极管是由_________组成的。常见的三极管按材料可分为_________和_________;按频率可分为_________、__________和_________等;按类型可分为________和_________型。12.超外差式收音机使用的高频变压器有__________、_________;中频变压器有_________、_________;低频变压器有_________、_________。13.印制电路板按其导电图形的层数可分为_________、________和_________。14.常见的万用表一般分为_________档、_________档、_________档、_________档。测量完毕,应将量程选择开关拨到_________档,保证仪器安全。二、识图:1.下图表示电阻,其阻值是多少?〔写出计算过程绿色蓝色黑色黄色金色2.下图表示电容,其容量是多少?〔写出计算过程4733.在下图〔填入该三极管的各极、各结的名称,画出该三极管的电路符号。〔〔PNP〔〔〔三、简答:1.如何用万用表判别三极管的极性?2.简述扬声器工作原理.第二部分超外差式收音机工作原理一、填空:1.晶体管收音机一般分为____________和____________两大类。2.信号调制的方式有__________、__________、__________。3.超外差式调幅收音机由__________、__________、__________、__________、__________组成.4.收音机接收微弱电台信号的能力,称为__________;收音机选择电台的能力,称为____________。5.从谐振电路的工作特点可知,串联谐振电路允许_________讯号通过电路的,而大大削弱_________的讯号,从而达到__________的目的。6.变频级这个"加工厂"主要有三个任务:一是__________,二是_________,三是__________。7.所谓本机振荡器,就是一种能够__________的装置。8.超外差式收音机中频放大级有以下两个主要作用_________、_________。二、选择:1.超外差式收音机中波段的频率围一般为〔A.2.2~12MHzB。3.5~6.6MHzC。535~1605KHzD。465~1605KHz2.超外差式收音机接收640KHz的广播时,经过中放级输出的频率为〔A.535KHzB。465KHzC。640KHzD。1605KHz3.当收音机接收强信号时产生非线性失真,是由于〔性能不稳定而引起的。A.变频级B。中频放大级C。检波级D。低频放大级4.检波器的作用〔A.检波B。选频C。放大D。阻抗匹配三、简答:1.试说明超外差式收音机变频级的工作过程及各元件在电路中的作用。2.简述超外差式收音机的工作原理。3.试说明乙类推挽式放大电路的工作原理。第三部分收音机的焊接、调试一、填空:1.手工焊接主要工具是_________,使用其焊接时应注意_________。2.手工焊接的基本步骤_________、_________、_________、_________、_________。3.低频调试时,电流应在__________围,低频信号应注入_________、_________的焊接点进行检测。4.对收音机进行调试时,通常使用的仪器有____________、____________、___________和____________等。5.超外差式收音机统调时使用到的信号的频率有____________、____________、____________。6.整机调整主要有四项____________;____________;____________;____________。二、识图:说明下图仪器名称并在〔标明各旋扭名称。如果输出信号频率为4MHz应如何调试。〔〔〔〔〔三、简答:1、试述收音机的低频调试过程。2、试述收音机统调的过程。电工电子 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 实习部分第一部分EDA技术基础知识一、填空题:1.EDA的中文含义是____________________2.CPLD的中文含义是____________________3.ISP的中文含义是____________________4.VHDL的中文含义是__________5.FPGA的中文含义是____________6.ASIC的中文含义是____________7.JTAG的中文含义是______二、选择题:1.以下不属于CPLD和FPGA区别的是〔A.部逻辑单元的电路工艺不同B.部连线的连接方式不同C.编程修改方式不同D.采用的存储方式不同2.EDA技术的主要目标是〔A.利用计算机完成电子系统的设计B.使用自动化设备完成电路设计C.高效设计电子线路D.使电子线路设计完全脱离人为干预3.以下不属于ALTERA公司推出的EDA软件的是〔A.Max+Plus2B.Quartus2C.Nios2D.ISE4.以下不属于VHDL优点的是〔A.降低设计时的复杂性B.缩短产品设计周期C.设计使用的目标器件有较大弹性D.使产品成本降低5.以下不属于EDA发展阶段的是〔A.CAD阶段B.CAE阶段C.EDA阶段D.CAM阶段三、简答题:1.试说明ISP技术的优点。第二部分CPLD/FPGA系统硬件知识一、填空:1.实习过程中所使用的FPGA芯片是________公司生产的______系列____________型号的芯片2.EPF10K10LC84-4型FPGA采用______形式的存储结构3.在实验箱中,FPGA芯片的第一引线对应位置在________位置4.在向FPGA芯片下载数据时,芯片选择开关应置于________位置5.实验箱显示模块包括______、______、______、_____、______五个部分6.数码管的输入端包括______、______两组7.片〔位选是指____________________8.实验箱按键/开关模块包括______、______、______部件9.拨码开关提供________信号;按键开关提供________信号10.实验用下载电缆一端连接在计算机的______接口,另一端连接实验箱的DIGITALJTAG接口11.实验箱可调数字时钟源包括______组输出端口12.可调数字时钟源共包括11组跳线,其中JP1、JP2、_____、_____、______、______用来调整CLK5的输出频率13.当实验箱电源指示灯在不停闪烁,则说明存在__________现象二、选择题:1.以下不属于显示模块的是〔A.LEDB.数码管C.LCDD.PAC2.选中左数第3片八段数码管时,向片〔位选端输入的二进制编码是〔A."100”B."011”C."010”D."001”3.在八段数码管上显示字符"L",需要向字段端输入的二进制编码是〔A."01110000”B."00111000”C."11100000”D."00000111”4.八段数码管的片〔位选端位于实验箱的〔位置A.左下角B.右下角C.米字数码管与八段数码管之间D.16×16LED点阵左侧5.拨码开关连接到LED后,当LED受拨码开关控制时,能说明〔是正常工作的A.LEDB.拨码开关C.导线D.以上三者均是6.实验用时钟源频率围是〔A.2Hz~1MHzB.1.2Hz~20MHzC.2MHz~20MHzD.2Hz~2MHz7.数字电子技术中,1KB是指〔字节A.1000B.1024C.1096D.912三、简答题:1.简述实习实验箱的主要组成2.简述数码管显示的工作过程。3.简述LED和数码管的检测方法。第三部分MaxplusⅡ软件操作知识一、填空:1.Max+Plus2软件的图形编辑器支持______、______两种格式2.Max+Plus2设计软件支持________、_______、_______和________等四种形式的编辑操作3.设计文件的名称至少使用_______开头,而不能只使用数字作为文件名称4.三输入与非门的文字〔英文符号是__________5.图形""代表____________________操作6.Max+Plus2软件的文本编辑器支持_______、______等硬件语言设计7.元件""含义是__________8.图形编辑的流程为:建立新文件;保存并给新文件命名;设置______与文件名称一致;调入所需元件,包括两个必有的___、___引线并命名;连接电路使其符合工作原理要求;保存设计文件;侦错并修改;选择_________;存盘并编译;创建电路符号;________并编译;调出下载对话框并________;选择下载端口;_________;完成下载。9.在使用VHDL语言进行编辑时,可以在语句后使用____符号使其后面的容变成注释性文字二、选择题:1.Max+Plus2软件中,不能直接使用以下〔种编辑器A.原理图编辑器B.波形图编辑器C.文本编辑器D.网表编辑器2.Max+Plus2软件中,可同时处理〔个项目文件A.4B.3C.2D.13.Max+Plus2软件中,存盘文件的名称可以包括〔容A.英文字母B.数字C.下划线D.以上三者均是4.Max+Plus2软件中,原理图编辑器是〔A.graphicEditorB.SymbolEditorC.TextEditorD.WaveformEditor5.原理图编辑器默认存盘类型是〔A.SOFB.GDFC.VHDD.SCF6.VHDL设计的文件存盘类型是〔A.SOFB.GDFC.VHDD.SCF7.波形图编辑器默认存盘类型是〔A.SOFB.GDFC.VHDD.SCF8.在使用EPF10K10LC84-4芯片时,下载文件的类型是〔A.SOFB.GDFC.VHDD.SCF9.Max+Plus2软件提供的"prim"库是指〔A.宏功能元件库B.基本元件库C.自定义元件库D.自定义参数元件库10.在进行数据下载操作之前,必须执行的操作是〔A.选择下载文件B.选择下载端口C.项目编译D.选择下载对话框11.执行创建新文件的操作是〔A.File→Project→save&compileB.File→Project→save&checkC.File→Project→setprojecttocurrentfileD.File→new12.执行存盘并侦错的操作是〔A.File→Project→save&compileB.File→Project→save&checkC.File→Project→setprojecttocurrentfileD.File→new13.执行存盘并编译的操作是〔A.File→Project→save&compileB.File→Project→save&checkC.File→Project→setprojecttocurrentfileD.File→new14.执行设置文件与项目保持一致的操作是〔A.File→Project→save&compileB.File→Project→save&checkC.File→Project→setprojecttocurrentfileD.File→new15.调出引脚配置图的操作是〔A.Max+Plus2→WaveformEditorB.Max+Plus2→FloorPlanEditorC.Max+Plus2→simulatorD.Max+Plus2→programmer16.调出下载对话框的操作是〔A.Max+Plus2→WaveformEditorB.Max+Plus2→FloorPlanEditorC.Max+Plus2→simulatorD.Max+Plus2→programmer17.调出仿真器的操作是〔A.Max+Plus2→WaveformEditorB.Max+Plus2→FloorPlanEditorC.Max+Plus2→SimulatorD.Max+Plus2→Programmer18.选择下载对话框的操作是〔A.Options→HardwaresetupB.Options→ProgrammingoptionsC.Options→SelectDeviceD.Options→Sound19.选择下载文件的操作是〔A.File→SelectProgrammingFileB.Max+Plus2→ProgrammerC.File→ArchiveJTAGProgrammingFileD.File→Inputs/Outputs20.设置下载端口时,选择〔端口A.BitBlasterB.ByteBlaster〔MVC.MasterBlaster〔D.LP6+PL-MPU三、简答题:1.详细叙述使用Max+plus2软件进行设计的完整过程。第四部分VHDL知识一、填空:1.VHDL程序中,用________来引导程序包声明部分;用______来引导实体声明部分;用__________来引导结构体部分2.程序声明语句"useieee.std_logic_unsigned.all"是指使用__________库中的__________程序包中的所有文件3.VHDL程序中,"STD_LOGIC_VECTOR<0TO11>"定义了一个长度为____数据类型为__________的数据4.VHDL程序中,每一个"IF"语句必须有一个"IF"和_______5.VHDL程序中,"CASE"语句最佳的结尾是______________6.VHDL程序中,"/"计算都是以________的正整数次幂为右操作数的7.VHDL程序中,表达式"c:=aorb"所表示的含义是向__________类型的数据对象传送__________结果8.用VHDL的IF语句描述信号CLK的上升沿:______________二、选择题:1.以下不属于VHDL程序基本结构的是〔A.程序包声明B.实体声明C.结构体D.类属声明2."process"语句属于〔语句A.串行B.并行C.转折D.分支3.VHDL中常用的数据对象有〔A.常量B.变量C.信号D.以上三者均是4.信号声明的引导词是〔A.constantB.variableC.signalD.以上三者均不是5.变量声明的引导词是〔A.constantB.variableC.signalD.以上三者均不是6.声明a是 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 逻辑型信号的语句是〔A.constanta:std_logic;B.variablea:std_logic;C.signala:std_logic;D.signala:std_logic_vector<2downto0>;7.声明a是标准逻辑矢量型3位信号的语句是〔A.constanta:std_logic;B.variablea:std_logic;C.signala:std_logic;D.signala:std_logic_vector<2downto0>;8.向标准逻辑矢量型2位信号a赋值的正确操作是〔A.a<=’x’;B.a:=’x’;C.a<="xx";D.a:="xx";9.以下标志输入端口类型的是〔A.inB.outC.bufferD.inout10.以下标志输出端口类型的是〔A.inB.outC.bufferD.inout三、程序 分析 定性数据统计分析pdf销售业绩分析模板建筑结构震害分析销售进度分析表京东商城竞争战略分析 如下是数码管显示控制程序的源代码,根据要求完成题目。〔1完成程序,使其完成相应的功能libraryieee;useieee.std_logic_1164._________;entitycount_dispisport;disp_sel:outstd_logic_vector<0to______>>;endentity;architecturedisplayofcount_dispissignalsigdata:std_logic_vector<0to7>;beginBB:processbeginifthencasectrl_seliswhen0=>disp_sel<="00";disp_data<=sigdata;when1=>disp_sel<="01";disp_data<=sigdata;when2=>disp_sel<="10";disp_data<=sigdata;whenothers=>disp_sel<="11";endcase;endif;endprocessBB;CC:processbegincase_________iswhen0=>sigdata<="00111111";when1=>sigdata<="00000110";when2=>sigdata<="01011011";when3=>sigdata<="01001111";when4=>sigdata<="01100110";when5=>sigdata<="01101101";when6=>sigdata<="01111101";when7=>sigdata<="00000111";when8=>sigdata<="01111111";when9=>sigdata<="01101111";whenothers=>sigdata<="10000000";endcase;endprocessCC;end_________;〔2试分析进程BB所实现的功能电工电子实习电工实训部分填空题1.电器是指用于接通和断开电路或者对电路和电气设备进行____________、____________、____________和____________的电工器件,低压电器按它在电气线路中的用途可分为____________和____________。2.常用低压配电电器有_________、_________、_________、等,常用的低压控制电器有____________、____________、____________等。3.接触器是工厂电气控制系统中一种重要的低压电器。接触器有____________及____________功能,控制容量大,其控制对象主要是____________,也可用于控制其它负载,如电路、电焊机等,可用于____________和____________。4.继电器是一种根据外界的____________或____________的变化来接通或断开控制电路的自动电器,主要用于____________、____________或____________。常用的继电器有____________、____________、____________和____________等。5.电磁铁是利用____________来吸持钢铁零件,操纵、牵引机械装置以完成预期的动作的低压电器。二、判断题1.常见的低压控制电器有断路器、熔断器、刀开关、转换开关、继电器等。〔2.低压熔断器是低压供配电系统和控制系统中最常用的安全保护电器,只能用作短路保护,不能用于过载保护。〔3.开启式刀开关必须垂直安装在配电板上,并保证手柄向上为合闸,允许平装或倒装。〔4.热继电器是利用电流的热效应来推动机构使触点闭合或断开的保护电器,它用于电动机的过载保护、短路保护。〔5.安装接触器时,其低面应与地面垂直,倾斜角度小于5度,否则会影响接触器的工作特性。<>三、绘图题1.画出接触器控制的点动控制线路的电路图。2.画出接触器控制的连续控制线路的电路图。3.画出接触器控制的正转控制线路的电路图;4.画出接触器控制的两地控制线路的电路图。5.画出普通车床电气控制电路。四、设计题1.设计一电气控制电路,主电路有电动机一台,可以实现两地控制电动机运行,在主控制室可以控制电动机启动和停止,在现场只能控制电动机停止,要求系统有短路保护、过载过热保
本文档为【电子电工实训报告册】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
机构认证用户
爱分享
通信工程;电信工程;电子工程;光线传输系统;移动通信;数字传输设备;信息网络及传真;工程监理;弱电设计安装;设备安装;
格式:doc
大小:60KB
软件:Word
页数:11
分类:成人教育
上传时间:2022-02-23
浏览量:0