首页 电子设计实验报告

电子设计实验报告

举报
开通vip

电子设计实验报告电子技术课程设计报告学院:计算机科学与技术学院专业班级:1404010701学生姓名:卜青云指导教师:沈永滨完成时间:2015-12-30成绩:目录电子琴.......................................2(1.1)设计要求..............................2(1.2)设计的作用.目的.......................2(1.3)设计的具体实现........................3(1.4)心得体会、存在问题和进一步的改进意见等........

电子设计实验报告
电子技术课程 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 报告学院:计算机科学与技术学院专业班级:1404010701学生姓名:卜青云指导教师:沈永滨完成时间:2015-12-30成绩:目录电子琴.......................................2(1.1)设计要求..............................2(1.2)设计的作用.目的.......................2(1.3)设计的具体实现........................3(1.4)心得体会、存在问题和进一步的改进意见等........7(1.5)附录....................................8(1.6)参考文献...............................9(1.7)附图...................................9温度控制电路..................................10(2.1)设计要求..............................10(2.2)设计的作用.目的.......................10(2.3)设计的具体实现........................10(2.4)心得体会、存在问题和进一步的改进意见等........12(2.5)附录....................................12(2.6)参考文献...............................13信号发生器....................................13(3.1)设计要求..............................13(3.2)设计的作用.目的.......................13(3.3)设计的具体实现........................14(3.4)心得体会、存在问题和进一步的改进意见等........17(3.5)附录....................................17(3.6)参考文献...............................17音频放大器.....................................18(4.1)设计要求..............................18(4.2)设计的作用.目的.......................18(4.3)设计的具体实现........................18(4.4)心得体会、存在问题和进一步的改进意见等........21(4.5)附录....................................21(4.6)参考文献...............................21简易电子琴设计报告设计要求本设计是基于学校实验室的环境,根据实验室提供的实验条件来完成设计任务,设计一个简易电子琴。(1).按下不同琴键即改变RC值,能发出C调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出。(2).选择电路 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 ,完成对确定方案电路的设计。计算电路元件参数并记录对应不同音阶时的电路参数值、元件选择、并画出总体电路原理图,阐述基本原理。(3).连接安装调试电路。(4).写出设计总结报告。设计的作用、目的学会用仿真软件对设计的原理图进行仿真。培养创新能力和创新思维,锻炼学生自学软件的能力,通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事求是的科学态度和勇于探索的创新精神。通过课程设计,使学生在理论计算、结构设计、工程绘图、查阅设计资料、标准与规范的运用和计算机应用方面的能力得到训练和提高。掌握电子电路的一般设计 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 ,了解电子产品研制开发过程,巩固、深化和扩展学生的理论知识与初步的专业技能。为今后从事电子技术领域的工程设计打好基础基本要求。设计的具体实现系统概述本课程设计采用模拟电路中的RC正弦振荡原理。设计出的电子琴音阶频率满足国际标准,La调频率满足国际标准音C调频率440Hz。模拟电路中的RC正弦波振荡电路具有一定的选频特性,乐声中的各音阶频率也是以固定的声音频率为机理的。简易电子琴是由RC选频网络、集成运算放大器、功率放大电路组成。其框图如图下所示:其核心是集成运算放大器构成RC正弦波振荡器,实验板上提供了8个音节电阻和电容(C串=C并=0.068μf固定) 构成RC串并联选频网络,分别取不同的电阻值(通过琴键开关接通RC串并联网络的8对电阻)使振荡器产生八个音阶信号。最后,通过扬声器发出乐音。2.单元电路设计(仿真)与分析八个音阶的频率设计电子琴,就要进行八个音阶的调试。查阅资料得知C调各音的振荡频率如下表。C调1234567if/Hz264297330352396440495528表一振荡电路的选择与设计接着我们选择振荡电路,由于RC振荡电路,一般用来产生1HZ~1MHZ范围内的低频信号;而LC振荡电路一般用来产生1MHZ以上的高频信号,由上表我们可以知道选择RC振荡电路。其基本电路为RC文氏电桥振荡电路,如下图所示:图1原理如下:当f=f0=1/2πRC时Uo与Ui同相,并且|F|=Ui/Uo=1/3。而同相比例运算电路的电压放大倍数为|Au|=Ui/Uo=1+Rf/R1,可见。Rf=2R1时|Au|=3,|AuF|=1。Uo与Ui同相,也就是电路具有正反馈。起振时|AuF|﹥1,|Au|﹥3.随着振荡幅度的增大,|Au|能自动减小,直到满足|Au|=3或|AuF|=1时,振幅达到稳定,以后可以自动稳幅。决定用RC振荡电路后就可以根据其选频特性画出振荡部分的电路图,如下图:图2八个电阻的选择知道了电容值通过公式f=f0=1/2πRC结合表一,即可计算出八个音阶对应的电阻值,分别为R6=36.3KΩ,R7=28.65KΩ,R8=23.23KΩ,R9=20.4KΩ,R10=16.13KΩ,R11=13.06KΩ,R12=10.32KΩ,R13=9.07KΩ,通过值选择电阻器件(就近原则)。设计中所用电阻的实际阻值为R6=36.4KΩ,R7=28.7KΩ,R8=23.3KΩ,R9=20.5KΩ,R10=16.2KΩ,R11=13.1KΩ,R12=10.3KΩ,R13=9.1KΩ,稳幅方式的选择不光要使电路能够振荡,还要考虑稳幅。稳幅的方式有好几种,比如R2用热敏电阻代替,或者利用JFET工作在可变电阻区,而本次试验我们选择的是采用两个二极管进行稳幅,如上图,原理是当U1幅值很小时,两个二极管相当于开路,则R2,两个二极管的电阻为R2的大小,Av﹥3,有利于起振,而当U1幅值较大时,两个二极管有一个导通,总的电阻变小,Av变小,U1幅值达到稳定。功率放大电路的设计图3电路的接法如图,通过三极管放大电路能较有效的放到功率使喇叭能正常在工作。为了防止出现高频自激(输出波形上叠加有毛刺),通过大小为10uF的电容C3,能较有效的解决这个问题。3.电路的安装与调试(1).在设计过程中,还是出现了比较多的问题,最简单也是最经常出现的问题是出现连线错误,通过逐步地检查更正这些错误。实验中,我按照老师画好的电路在插槽板上连接好之后,进行加电测试,结什么都没显示,果后来我又检查了几遍,和所给画电路图一样,但始终实现不了,不仅浪费时间,最终也没实现。最后经过多方排查发现原来是三极管的b脚与e连接是短路。还有在连接电路时,应该先对电路总体进行规划好位置,以节省导线,而且电路连接出来也好看,尤其是在真正设计电路时,合理布局将能节省成本。(2).在连线过程中,检查好电路后,接入电源,在没有按下无自锁按键时,喇叭就开始出噪音,原因是八个无自锁按键和电阻并联且没有接地。经过修改,便能过真确的出声音。在条件允许的情况下,有效的检查电路故障的方法如下:芯片测试将芯片插在模拟实验包里面的芯片座上,将缺口朝左,用导线连接成反相比例电路。如下图所示:图4接好之后将输入端接地,然后用万用表打在直流电压档,测试输出端是否为零,如果不是则调零,如果能进行调零则说明芯片是好的。同理LM386也是如此。振荡电路测试将芯片插在事先安装好的底座上面,然后根据引脚的功能在底座上面用导线连接,11脚接模拟实验箱上面的+12V,4脚接-12V,打开开关,按住电路板上的开关,调节电位器,直到出现了相似的声音即可。也可以通过将六脚连接在示波器的输入端,观察示波器上面数值算出各自的频率和幅值,便可计算误差。误差分析:出现的误差可能的原因是选择的电阻值没有很接近所计算的值,从而导致产生的频率不是所给的频率;还有一种可能就是示波器的问题,这就是仪器硬件问题了。电子琴的测试再接好功放电路,进行最后的测试。将模拟实验箱上面的+12V接到6脚上的+VCC上,依次按住电路板上面的开关,看是否能通过扬声器发出八种声音,如果能则说明成功了,否则要耐心地检查电路那边接错了或少接了,或者芯片在测试过程中由于接的不恰当被烧坏了,这些都是需要考虑的问题。四.心得体会、存在问题和进一步的改进意见等本次的电子琴课程设计让我们初次接触到了模拟电子电路的课程设计,此次设计中我们通过了相关的设计计算和电路的连接调试让我们深层次的了解了我们之前所学的基础知识,不仅提高了我们自主学习的能力,更重要的是锻炼了我们动手和自主分析解决问题的能力。设计电路的过程当中,我发现很多平时不懂的地方渐渐地懂了。整个课设完成之后我明白了把理论运用于实际比单单学习理论知识更重要,因为在平时的学习过程当中我们只是把 知识点 高中化学知识点免费下载体育概论知识点下载名人传知识点免费下载线性代数知识点汇总下载高中化学知识点免费下载 一学,觉得懂了会做题了就一切无忧了,可是在这次设计的过程当中发现这是远远不够的。在设计的过程当中还是有很多不会的,而且就算会但是有很多地方还需要注意到实际的应用性以及节省性。毕竟实际当中是需要利益的最大化,所以还是要学会很多的技巧。这次课程设技我学会了很多,也发现有很多地方要改善,我想这对于以后的学习会有很大的帮助。对于本次实验最大的感受是实际的应用是很重要的,以前学习的东西都是些理论知识,从没有接触过具体的实物,不知道做一个东西从哪里下手,如何去规划,很是茫然。在接触了之后,慢慢的从中寻找到了它的乐趣,当一件完整并且成功的电子琴奏出了声音时,那种感觉是很激动美妙的。虽然实验中也出现了很多问题,首先我们注意的事项是很多的,这是课程设计中必备的要求,接线要注意不能短路和断路等等。最后调试的时候我们也出现了些问题,线路连接的错误,以及没有把振荡电路调试好就照成了最后出现了失真的问题,经过老师的指点和建议后取得了很好的效果。此次课程设计我们学到很多东西,不仅仅是知识上还包括与同学之间的合作都是我们所需要的。最后由衷的感谢老师的悉心指导!五.附录序号名称、型号及规格数量1LM32412LM38613电解电容(4.7uF/25V)24电解电容(100uF/25V)15电容(104uF)36电阻(10)17电阻(10K)28电阻(36K)19电阻(6.8K)110电阻(9.1K)111电阻(10.3K)112电阻(13.1K)113电阻(16.2K)114电阻(20.5K)115电阻(23.3K)116电阻(28.7K)117电阻(36.4K)118电位器(5K)219喇叭120无自锁按键821插槽2六.参考文献1·房国志·模拟电子技术基础·国防工业出版社·20112·邱关源·电路(第5版)·高等教育出版社·20063·阎石·数字电子技术(第5版)·高等教育出版社·2006七.附图温控装置设计报告一.设计要求本设计是基于学校实验室的环境,根据实验室提供的实验条件来完成设计任务,设计一个温度控制电路。(1).通过调节电位器,使电路从保温状态切换至加热状态。(2).选择电路方案,完成对确定方案电路的设计。计算电路元件参数并记录对应不同音阶时的电路参数值、元件选择、并画出总体电路原理图,阐述基本原理。(3).连接安装调试电路。(4).写出设计总结报告。二.设计的作用、目的学会用仿真软件对设计的原理图进行仿真。培养创新能力和创新思维,锻炼学生自学软件的能力,通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事求是的科学态度和勇于探索的创新精神。通过课程设计,使学生在理论计算、结构设计、工程绘图、查阅设计资料、标准与规范的运用和计算机应用方面的能力得到训练和提高。掌握电子电路的一般设计方法,了解电子产品研制开发过程,巩固、深化和扩展学生的理论知识与初步的专业技能。为今后从事电子技术领域的工程设计打好基础基本要求。三.设计的具体实现系统概述本次试验设计通过使用等效的思想,模拟出一个简单的温度控制电路,用滑动变阻器分压原理代替温度测量系统,其中不同分压比相当于不同温度,用红灯代表温度升高(及温度加热过程),用绿灯代表温度不变,但跟读低于所控制温度时,会启动加热系统,当温度高于控制温度时会启动保温系统同时终止加热系统。如此往复,以达到温度控制的目的。实验仿真图如下:2.单元电路设计(仿真)与分析(1).分压计原理通过改变R15的值,从而改变差动放大电路输入的值。.差动放大器:由于电信号变化微弱,故而用信号放大处理。此运算放大器的特点是双端输入,单端输出,输入电阻很小,输出电阻很大。.反向比较器.电压比较器的电压传输特性  电压比较器的输出电压Uo与输入电压U1的函数关系成为电压传输特性,输入电压U1是模拟线号,而输出电压Uo只有两种可能的状态,不是高频电压就是低频电压,用以表示比较的结果。心得体会、存在问题和进一步的改进意见等本次的课程设计让我们接触到了模拟电子电路的课程设计,此次设计中我们通过了相关的设计计算和电路的连接调试让我们深层次的了解了我们之前所学的基础知识,不仅提高了我们自主学习的能力,更重要的是锻炼了我们动手和自主分析解决问题的能力附录电阻:2k6个   10k6个芯片:LM324D电位器:5k3个绿LED灯1个红LED灯1个六.参考文献1·房国志·模拟电子技术基础·国防工业出版社·20112·邱关源·电路(第5版)·高等教育出版社·20063·阎石·数字电子技术(第5版)·高等教育出版社·2006信号发生器设计报告一.设计要求本设计是基于学校实验室的环境,根据实验室提供的实验条件来完成设计任务,设计一个信号发生器。(1).设计一函数信号发生器,能输出的正弦波、方波和三角波共三种波形,振幅固定。(2).选择电路方案,完成对确定方案电路的设计。计算电路元件参数并记录对应不同音阶时的电路参数值、元件选择、并画出总体电路原理图,阐述基本原理。(3).连接安装调试电路。(4).写出设计总结报告。二.设计的作用、目的学会用仿真软件对设计的原理图进行仿真。培养创新能力和创新思维,锻炼学生自学软件的能力,通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事求是的科学态度和勇于探索的创新精神。通过课程设计,使学生在理论计算、结构设计、工程绘图、查阅设计资料、标准与规范的运用和计算机应用方面的能力得到训练和提高。掌握电子电路的一般设计方法,了解电子产品研制开发过程,巩固、深化和扩展学生的理论知识与初步的专业技能。为今后从事电子技术领域的工程设计打好基础基本要求。三.设计的具体实现系统概述函数信号发生器是具有两种或两种以上波形信号输出的信号发生器。把几种不同类型的基本电路组合在一起就可以构成一个函数发生器。我的 设计方案 关于薪酬设计方案通用技术作品设计方案停车场设计方案多媒体教室设计方案农贸市场设计方案 是,由一个RC振荡电路,过零比较电路,积分电路,电压跟随电路和直流稳电路组成。其工作原理是:首先由RC振荡电路产生一个所需频率的正弦波振荡信号,该正弦信号一部分由电压比较器引出,另一部分由电压跟随器耦合到过零比较电路的输入端,经比较器处理后,将在输出端产生一个相同频率的方波信号,同理,一部分方波信号由电压跟随器引出作为发生器方波信号输出;另一部分继续由跟随器送入下一级积分电路,方波信号被积分电路处理后,在输出端输出一个相同频率的三角波信号,并由跟随器引出作为发生器又一信号输出。在整个过程中,直流稳压电路作为所有集成运放提供电源。2.单元电路设计(仿真)与分析(1).正弦波发生电路的工作原理正弦波振荡电路是一种选频网络和正反馈网络的放大电路。其自震荡的条件是环路增益为1,即AF=1,。其中A为放大电路的放大倍数,F为反馈系数。为了使电璐能够震起来,还应该是环路增益略大于1。RC振荡电路主要用于产生小于1MHZ的低频信号。振荡电路是大多数信号发生器电路的核心技术,文氏桥振荡电路为其中的一种,在电路中选择合适的元器件参数,便可得到相应的输出频率和振幅。经过多次调试,定数据R=1600欧姆,C=100nm,R2=9970欧姆,R2=20000欧姆。原理图为这种电路不可实现频率可调,为弥补缺陷,可用下一个电路实现频率或周期可调如下图所示:2.3正弦波---方波工作原理电路比较器具有两个阈值,当输入为正弦波是,输入由小变大,又有大变小,发生变化,输出的不是高电平就是低电平,利用稳压二极管的电压钳制作用,输出结果。原理图:2.4方波---三角波工作原理积分电路主要用于信号的处理,若要向积分器出入方波信号,由于方波的高低电平为恒定值,所以输出电压为三角波。四.心得体会、存在问题和进一步的改进意见等本次的程设计让我们接触到了模拟电子电路的课程设计,此次设计中我们通过了相关的设计计算和电路的连接调试让我们深层次的了解了我们之前所学的基础知识,不仅提高了我们自主学习的能力,更重要的是锻炼了我们动手和自主分析解决问题的能力五.附录电阻:20k1个   10k4个芯片:LM324D电容1uf2个电容100nf1个示波器1个六.参考文献1·房国志·模拟电子技术基础·国防工业出版社·20112·邱关源·电路(第5版)·高等教育出版社·20063·阎石·数字电子技术(第5版)·高等教育出版社·2006数字电子秒表设计报告(自主设计)设计要求秒表由2位七段LED显示器显示,其中1位显示“s”,二位显示“0.1s”,显示分辩率为0.1s;计时最大值为9.9s;计时误差不得超过1s;具有清零、启动计时、暂停计时及继续计时等控制功能;安装并调试电路;5.写设计总结报告;二.设计的作用、目的1.培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。2.学习较复杂的电子系统设计的一般方法,提高基于模拟、数字电路等知识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。3.进行基本技能训练,如基本仪器仪表的使用,常用元器件的识别、测量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。4.培养学生的创新能力。三.设计的具体实现1.具体流程图图1工作流程图图1中1单元为用集成与非门74LS00构成的基本RS触发器。属低电平直接触发的触发器,有直接置位,复位的功能。图1中2单元为集成与非门74LS00构成的单稳态触发器,它的职能是为计数器提供清零信号。图1中555定时器构成了多谐振荡器,是一种性能较好的时钟源。图1中4单元74LS160构成的计数器/分频器图1中5单元译码显示单元2.电路图的基本实现原理电路图本电路中使用两个基本RS触发器提供置位、复位、和清零信号,555定时器提供时钟脉冲信号,三块74LS160作为译码/分频单元,有效、简洁地完成了秒表所具备的所有功能(清零、启动计时、暂停计时及继续计时)。3.单元电路的设计(图1)为用集成与非门74LS00构成的基本RS触发器。属低电平直接触发的触发器,有直接置位,复位的功能。逻辑符号(图1)基本RS触发器电路图(图2)基本RS触发器功能表:基本RS触发器功能表RSQ10101011保持00不确定它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。按动开关,则门1输出Q非为1;门2输出Q为0;复位后Q、Q非状态保持不变。再按动,则Q由0变为1,门5开启,为计数器启动作好准备。Q非由1变为0,送出负脉冲,启动单稳态触发器工作。基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。(图3)74LS00引脚及内部结构表555定时器构成了多谐振荡器,是一种性能较好的时钟源。调节电位器,使在555输出端3获得频率为10—15Hz的矩形波信号,当基本RS触发器Q为1时,门5开启,此时脉冲信号通过门5作为计数脉冲加于计数器的计数输入端CP。图4为555定时器管脚分布图。(图4)555引脚图74LS161构成的计数/分频器,其中计数器1作为十分频器,对555输出的矩形波信号进行十分频,得到的信号,即在其进位输出端取得周期为0.1s的矩形脉冲信号,作为计数器2的时钟输入信号。同时计数器2的时钟输入信号又经过十分频得到周期为1s的矩形脉冲信号,作为计数器3的时钟输入信号。译码显示单元:本部分由CC4511译码器和七段显示共阴极数码管构成。在其引脚当中A、B、C、D为BCD码输入端,a、b、c、d、e、f、g为译码输出端,输出“1”有效,用来驱动LED数码管。四.心得体会、存在问题和进一步的改进意见等通过本次试验让我知道理论知识终究不是实践能力,在实践面前一系列的问题会突发出现,但是没有扎实的理论知识,实践能力又无从存在,二者可谓缺一不可,所以在以后的学习工作中,学习和动手要两手抓,而且两手都要硬,不能留下软肋,让问题钻了空子。五.附录元件清单表元件规格数量元件规格数量与非门74LS002电位器50k1定时器5551电容0.1u1计数器74LS1612电容1.0u1电阻470Ω14集成块座14脚2电阻4.7k4集成块座16脚4电阻47k1集成块座8脚1译码器CC45112开关机械式2参考文献康华光.电子技术基础.北京:高等教育出版社。2.黄仁欣.电子技术实践与训练.北京:清华大学出版社。3.刘修文.实用电子电路设计制作.北京:中国电力出版社。4.蔡忠法.电子技术试验与课程设计.浙江:浙江大学出版社。5.赵淑范,王宪伟.电子技术试验与课程设计.北京:清华大学出版社。
本文档为【电子设计实验报告】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
旋律
几年的财务工作经验,现认财务主管一职!精通各种财务管理软件
格式:doc
大小:383KB
软件:Word
页数:0
分类:
上传时间:2018-05-13
浏览量:0