首页 六十进制计数器的设计(VHDL)

六十进制计数器的设计(VHDL)

举报
开通vip

六十进制计数器的设计(VHDL)六十进制计数器的设计(VHDL)实验六六十进制计数器的设计一、实验目的1(进一步掌握VHDL语言中元件例化语句的使用2(通过本实验,巩固利用VHDL语言进行EDA设计的流程二、实验原理1(先分别设计一个六进制和十进制的计数器,并生成符号文件2(利用生成的底层元件符号,设计六十进制计数器顶层文件三、实验步骤(略)四、实验结果实验八六十进制计数器的设计六进制计数器源程序cnt6.vhd:十进制计数器源程序cnt10.vhd:设计两输入端与门元件:将要使用的元件包装入库:顶层文件的设计方法一:六十进制计数器的顶层文件原理...

六十进制计数器的设计(VHDL)
六十进制计数器的设计(VHDL)实验六六十进制计数器的设计一、实验目的1(进一步掌握VHDL语言中元件例化语句的使用2(通过本实验,巩固利用VHDL语言进行EDA设计的 流程 快递问题件怎么处理流程河南自建厂房流程下载关于规范招聘需求审批流程制作流程表下载邮件下载流程设计 二、实验原理1(先分别设计一个六进制和十进制的计数器,并生成符号文件2(利用生成的底层元件符号,设计六十进制计数器顶层文件三、实验步骤(略)四、实验结果实验八六十进制计数器的设计六进制计数器源程序cnt6.vhd:十进制计数器源程序cnt10.vhd:设计两输入端与门元件:将要使用的元件包装入库:顶层文件的设计方法一:六十进制计数器的顶层文件原理图CNT60TOP.gdf方法二:使用元件例化语句设计的六十进制计数器源程序cnt60top.vhd:
本文档为【六十进制计数器的设计(VHDL)】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_511210
暂无简介~
格式:doc
大小:157KB
软件:Word
页数:4
分类:生活休闲
上传时间:2017-09-30
浏览量:230