首页 基于单片机的PWM直流调速系统设计毕业论文

基于单片机的PWM直流调速系统设计毕业论文

举报
开通vip

基于单片机的PWM直流调速系统设计毕业论文内蒙古科技大学毕业设计说明说(毕业论文) 内蒙古科技大学 本科生毕业设计说明书(毕业论文) 题 目:基于单片机的PWM直流调速系统设计 学生姓名: 学 号: 专 业:自动化 班 级:06(4) 指导教师: 基于单片机的PWM直流调速系统设计 摘要 随着时代的进步和科技的发展,电机调速系统在工农业生产、交通运输以及日常生活中起着越来越重要的作用,因此,对电机调速的研究有着积极的意义.长期以来,直流电机被广泛应用于调速系统中,而且一直在调速领域占居主导地位。基于单片机的...

基于单片机的PWM直流调速系统设计毕业论文
内蒙古科技大学毕业设计说明说(毕业论文) 内蒙古科技大学 本科生毕业设计说明书(毕业论文) 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 目:基于单片机的PWM直流调速系统设计 学生姓名: 学 号: 专 业:自动化 班 级:06(4) 指导教师: 基于单片机的PWM直流调速系统设计 摘要 随着时代的进步和科技的发展,电机调速系统在工农业生产、交通运输以及日常生活中起着越来越重要的作用,因此,对电机调速的研究有着积极的意义.长期以来,直流电机被广泛应用于调速系统中,而且一直在调速领域占居主导地位。基于单片机的直流电机调速系统硬件电路的 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 化程度高,制作成本低,且不受器件温度漂移的影响。其控制软件能够进行逻辑判断和复杂运算。系统的稳定性好,可靠性高。直流电动机具有优良的起、制动性能,宜于在广泛范围内平滑调速。在轧钢机、矿井卷机、挖掘机、金属切削机床、造纸机、高层电梯等领域中得到广泛应用。 本设计是基于单片机控制的PWM直流电机调速系统,系统以AT89C52单片机为核心,以2A、1000r/min小直流电机为控制对象,以L298N为H桥驱动芯片实现速度、电流反馈双闭环。采用PID控制算法,调节PWM 占空比从而控制电机两端电压,以达到调速的目的。用4*3键盘输入有关控制信号及参数,可以实现电机的启制动、正反转、速度调节。并在4位LED上实时显示输入参数及动态转速。 关键词:单片机、直流电机、PWM、 PID Based on SCM PWM dc speed control system design Abstract With the progress of The Times and the development of science and technology, motor speed system in agricultural production, transportation and daily life plays a more and more important role in motor speed, therefore, the research has positive significance. For a long time, have been widely applied in dc motor control system, and has been inhabited areas in speed dominant. Based on SCM dc motor speed control system of high degree of standardization of hardware circuit, low cost, and the temperature drift. Device, The control software to logic and complex operation. The system has good stability and reliability. Dc motor with excellent, braking performance, and in a wide range smooth speed. In the mill, mine machine, excavator, metal cutting machine, paper machine, high-level elevator is widely used in the fields. The design is based on single chip microcomputer control system of dc motor control PWM, by AT89C52 singlechip system, and 1000r/min small double-a dc motor to control, L298N H bridge to drive chip realize speed, double loop current feedback. PID control algorithm, regulate and control PWM occupies emptiescompared to achieve both voltage motor speed. Use 4 * 3 keyboard input signal and the relevant control parameters, can realize the rev brake motor speed regulation, and positive &negative. And in four LED on real-time display input parameters and dynamic speed. Keywords : monolithic integrated circuits, a direct motor,PWM,PID 目录 I基于单片机的PWM直流调速系统设计 摘要 I Abstract II 第一章 绪论 1 1.1课题背景 1 1.2课题功能 1 第二章 系统硬件电路的设计 2 2.1 系统总体设计 2 2.1.1  系统总体设计框图 2 2.1.2单片机的选择及其简介 2 2.1.3 其他芯片简介 6 2.2  PWM信号发生电路设计 18 2.2.1   PWM的基本原理 18 2.2.2   PWM信号发生电路设计 19 2.2.3   H桥芯片的工作原理 20 2.3 主电路设计 23 2.4  转速和电流的测量 23 2.5  AD转换 25 2.6显示与键盘电路 25 第三章 系统软件程序的设计 27 3.1  PID控制算法原理及流程图 27 3.2 系统部分程序的设计……………………………………………………....29 3.2.1 单片机资源分配……………………………………………………...29 3.2.2 程序流程图 29 结论 32 参考文献 33 附录 34 致谢 47 第一章 绪论 1.1课题背景 随着时代的进步和科技的发展,电机调速系统在工农业生产、交通运输以及日常生活中起着越来越重要的作用,因此,对电机调速的研究有着积极的意义.长期以来,直流电机被广泛应用于调速系统中,而且一直在调速领域占居主导地位,这主要是因为直流电机不仅调速方便,而且在磁场一定的条件下,转速和电枢电压成正比,转矩容易被控制;同时具有良好的起动性能,能较平滑和经济地调节速度。因此采用直流电机调速可以得到良好的动态特性。由于直流电动机具有优良的起、制动性能,宜于在广泛范围内平滑调速。在轧钢机、矿井卷机、挖掘机、金属切削机床、造纸机、高层电梯等领域中得到广泛应用。近年来交流调速系统发展很快,然而直流控制系统毕竟在理论上和在时间上都比较成熟,而且从反馈闭环控制的角度来看,它又是交流系统的基础,长期以来,由于直流调速系统的性能指标优于交流调速系统。因此,直流调速系统一直在调速系统领域内占重要位置。 1.2课题功能 本论文介绍了基于AT89C52单片机来实现最优PID控制的直流脉冲(PWM)调速系统,并且详细论述了该系统的控制方法、结构、参数设计、程序设计等方面的问题。该系统结构简单,调速性能好,性能价格比高,真正实现了直流调速系统的高精度控制。 本设计是基于单片机控制的PWM直流电机调速系统,系统以AT89C52单片机为核心,以2A、1000r/min小直流电机为控制对象,L298N为H桥驱动芯片实现速度、电流反馈双闭环。采用PID控制算法,调节PWM 占空比从而控制电机两端电压,以达到调速的目的。用4*3键盘输入有关控制信号及参数,可以实现电机的启制动、正反转、速度调节。并在4位LED上实时显示输入参数及动态转速。 第二章 系统硬件电路的设计 2.1 系统总体设计 2.1.1  系统总体设计框图 本设计的任务是基于单片机控制的PWM直流电机调速系统,系统以单片机为核心,以小直流电机为控制对象,实现速度、电流反馈双闭环、采用PID控制算法。方便的人机对话接口,用键盘输入有关控制信号及参数,可以实现电机的启制动、正反转、速度调节。并在LED上实时显示输入参数及动态转速。因此整个系统大致包括五部分:单片机、显示电路、键盘电路、驱动电路、检测电路。【1】 根据设计任务,提出如图2.1所示的硬件电路组成框图。 图2.1 2.1.2单片机的选择及其简介 本设计选用了AT89C52单片机【2】,下面对它进行介绍。图2.2给出了At89C52的芯片引脚结构。 at89c52单片机是美国ATMEL公司生产的低电压,高性能CMOS 8位单片机,片内含8K bytes的可反复擦写的Flash只读程序存储器和256bytes的随机数据存储器(RAM),器件采用ATMEL公司的高密度,非易失性存储技术生产,与标准MCS-51指令系统及8052产品引脚兼容。功能强大的at89c52单片机适合于许多较为复杂的控制应用场合。 (1)At89C52 主要性能参数 ①与Mcs-51产品指令和引脚完全兼容。 ②8字节可重擦写FLASH闪速存储器 ③1000 次擦写周期 ④全静态操作:0HZ-24MHZ ⑤三级加密程序存储器 ⑥256X8字节内部RAM ⑦32个可编程I/0口线 ⑧3个16 位定时/计数器 ⑨8个中断源 ⑩可编程串行UART通道、低功耗空闲和掉电模式 (2)At89C52功能特性 AT89C52 提供以下标准功能:8字节FLASH闪速存储器,256字节内部RAM , 32个I/O口线,3个16 位定时/计数器,一个6向量两级中断结构,一个全双工串行通信口,片内振荡器及时钟电路。同时,AT89c52可降至OHz的静态逻辑操作,并支持两种软件可选的节电工作模式。空闲方式停止CPU 的工作,但允许RAM,定时/计数器.串行通信口及中断系统继续工作。掉电方式保存RAM 中的内容,但振荡器停止工作并禁止其它所有部件工作直到下一个硬件复位. 图2.2 AT89C52引脚图 (3)At89C52部分引脚功能说明 ①XTAL1:片内晶振电路反相放大器的输入端. ②XTAL2:片内晶振电路反相放大器的输出端。 ③P0:P0口是一组8位漏极开路型双向I/O 口,即地址/数据总线复用口。作为输出口用时.每位能以吸收电流的方式驱动8个TTL 逻辑门电路,对端口P0 写“1”时,可作为高阻抗输入端用。 在访问外部数据存储器或程序存储器时,这组口线分时转换地址(低8位)和数据总线复用,在访问期间激活内部上拉电阻。 在FLASH中编程时,P0口接收指令字节,而在程序校验时,输出指令字节,校验时,要求外接上拉电阻。 ④P1口:P1 是一个带内部上拉电阻的8位双向I/O口,Pl的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻某个引脚被外部信号拉低时会输出一个电流。与AT89C51不同之处是,Pl.0 和P1.1还可分别作为定时/计数器2 的外部计数输入(Pl.0/T2 )和外部触发输入(P1.1/T2EX) , FLASH编程和程序校验期间,Pl接收低8位地址。 ⑤P2口:P2 是一个带有内部上拉电阻的8位双向I/O口,P2的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑电路。对端口P2写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口,作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流。 在访问外部程序存储器或16位地址的外部数据存储器(例如执行MOvx@DPTR 指令)时,P2送出高8 位地址数据。在访问8位地址的外部数据存储器、如执行MOVX@RI指令)时,P2口输出P2锁存器的内容。 FLASH编程或校验时,P2亦接收高位地址和一些控制信号。 ⑥P3口:P3口是一组带有内部上拉电阻的8位双向I/O口。P3口输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对P3口写入“1”时,它们被内部上拉电阻拉高并可作为输入端口。此时,被外部拉低的P3口将用上拉电阻输出电流。   P3口除了作为I/0口线外,更重要的用途是它的第二功能,如表2.1所示。 此外,P3口还接收一些用于FLASH闪速存储器编程和程序校验的控制信号。⑦RST:复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将使单片机复位。 表2.1 端口引脚 第二功能 P3.0 RXD(串行输入口〕 P3.1 TXD(串行输出口〕 P3.2 INTO(外中断0〕 P3.3 INTO(外中断l) P3.4 TO (定时/计数器0 ) P3.5 Tl (定时/计数器l ) P3.6 WR(外部数据存储器写选通) P3.7 RD(外部数据存储器读选通) ⑧ALE/PROG:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节.一般情况下,ALE仍以时钟振荡频率的1/6输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE脉冲。   对Flash存储器编程期间,该引脚还用于输入编程脉冲(PROG)。如有必要,可通过对特殊功能寄存器(SFR)区中的8EH单元的D0位置位.可禁止ALE操作。该位置位后,只有一条MOVX和MOVC指令才能将ALE激活,此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE禁止位无效。 ⑨PSEN:程序储存允许PSEN输出是外部程序存储器的读选通信号,当AT89C52由外部程序存储器取指令(或数据)时,每个机器周期两次PSEN有效,即输出两个脉冲。在此期间,当访问外部数据存储器,将跳过两次PSEN信号。 ⑩EA/VPP:外部访问允许。欲使CPU 仅访问外部程序存储器(地址为0000H-FFFFH ) , EA端必须保持低电平(接地).需注意的是:如果加密位LBI被编程,复位时内部会锁存EA端状态。如EA端为高电平(接Vcc端), CPU则执行内部程序存储器中的指令。 flash存储器编程时,该引脚加上+12V的编程允许电源VPP ,当然这必须是该器件是使用12V编程电压VPP 。 (4)AT89C52特殊功能寄存器 在AT89C52片内存储器中,80H-FFH共128个单元为特殊功能寄存器(SFE ) 。并非所有的地址都被定义,从80H-FFH共128 个字节只有一部分被定义,还有相当一部分没有定义。对没有定义的单元读写将是无效的,读出的数位将不确定,而写入的数据也将丢失。 不应将数据"1"写入未定义的单元,由于这些单元在将来的产品中可能赋予新的功能。在这种情况下,复位后这些单元数值总是“0”。 (5)AT89C52 单片机扩展电路及分析 AT89C52 提供以下标准功能:8字节FLASH闪速存储器,256字节内部RAM , 32个I/O口线,3个16 位定时/计数器,一个6向量两级中断结构,一个全双工串行通信口,片内振荡器及时钟电路。由于AT89C52具有256字节内部RAM。 对本设计已经足够使用,因此不需要再扩展外部数据存储器。但本设计需外扩I/O接口,因此采用8255扩展外部I/O口。因为单片机的P0口是数据总线和低八位地址线共用的,所以需要使用地址锁存器74HC373。由此将P0口地址送于74HC373锁存,以便下一时刻,P0口传送数据。 2.1.3 其他芯片简介 2.1.3.1 8255的简介【3】 8255是一个并行输入/输出的LSI芯片,多功能的I/O器件,可作为CPU总线与外围的接口.它具有24个可编程设置的I/O口,即3组8位的I/O口,为PA口,PB口和PC口.它们又可分为两组12位的I/O口,A组包括A口及C口(高4位,PC4~PC7),B组包括B口及C口(低4位,PC0~PC3).A组可设置为基本的I/O口,闪控(STROBE)的I/O闪控式,双向I/O3种模式;B组只能设置为基本I/O或闪控式I/O两种模式,而这些操作模式完全由控制寄存器的控制字决定。图2.3给出了8255芯片引脚结构. 8255引脚功能 ① RESET:复位输入线,当该输入端外接高电平时,所有内部寄存器(包括控制寄存器)均被清除,所有I/O口均被置成输入方式。   图2.3 8255引脚图 ② CS:芯片选择信号线,当这个输入引脚为低电平时,即/CS=0时,表示芯片被选中,允许8255与CPU进行通讯;/CS=1时,8255无法与CPU做数据传输. ③ RD:读信号线,当这个输入引脚为低电平时,即/RD=0且/CS=0时,允许8255通过数据总线向CPU发送数据或状态信息,即CPU从8255读取信息或数据。 ④ WR:写入信号,当这个输入引脚为低电平时,即/WR=0且/CS=0时,允许CPU将数据或控制字写入8255。 ⑤ D0~D7:三态双向数据总线,8255与CPU数据传送的通道,当CPU 执行输入输出指令时,通过它实现8位数据的读/写操作,控制字和状态信息也通过数据总线传送。 ⑥ PA0~PA7:端口A输入输出线,一个8位的数据输出锁存器/缓冲器, 一个8位的数据输入锁存器。 ⑦ PB0~PB7:端口B输入输出线,一个8位的I/O锁存器, 一个8位的输入输出缓冲器。 ⑧ PC0~PC7:端口C输入输出线,一个8位的数据输出锁存器/缓冲器, 一个8位的数据输入缓冲器。端口C可以通过工作方式设定而分成2个4位的端口, 每个4位的端口包含一个4位的锁存器,分别与端口A和端口B配合使用,可作为控制信号输出或状态信号输入端口。8255 有4 个内部缓存器,分别是A 端口缓存器、B 端口缓存器、C 端口缓存器及控制缓存器。   当微电脑要读写8255 的内部缓存器时,必须利用A1 及A0 指定要对那一个暂器进行读写 动作。下表为A1、A0 配合RD、WR及CS 的控制状态表。 表2.2 A1 A0 RD  WR  CS  操作情形 0 0 0 1 0 A 端口数据送到总线 0 1 0 1 0 B 端口数据送到总线 1 0 0 1 0 C 端口数据送到总线 0 0 1 0 0 总线数据存入A 端口 0 1 1 0 0 总线数据存入B 端口 1 0 1 0 0 总线数据存入C 端口 1 1 1 0 0 总线数据存入控制缓存器 × × × × 1 总线呈高阻抗 1 1 0 1 0 错误操作 × × × 1 0 总线呈高阻抗 2.1.3.2 74HC373简介【4】 373为三态输出的八 D 透明锁存器,共有 54/74S373 和 54/74LS373 两种线路结构型式。 74HC373其主要电器特性的典型值如下(不同厂家具体值有差别): 型号 tPd PD 54S373/74S373 7ns 525mW 54LS373/74LS373 17ns 120mW 373 的输出端 O0~O7 可直接与总线相连。当三态允许控制端 OE 为低电平时,O0~O7 为正常逻辑状态,可用来驱动负载或总线。当 OE 为高电平时,O0~O7 呈高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。 当锁存允许端 LE 为高电平时,O 随数据 D 而变。当 LE 为低电平时,O 被锁存在已建立的数据电平。当 LE 端施密特触发器的输入滞后作用,使交流和直流噪声抗扰度被改善 400mV。 图2.4给出了74HC373芯片引脚结构。 图2.4 74HC373引脚图 2.1.3.3 L298N简介【5】 L298是SGS公司的产品,比较常见的是15脚Multiwatt封装的L298N,内部同样包含4通道逻辑驱动电路。可以方便的驱动两个直流电机,或一个两相步进电机。 图2.5是L298N内部结构图 L298N可接受标准TTL逻辑电平信号VSS,VSS可接4.5~7 V电压。4脚VS接电源电压,VS电压范围VIH为+2.5~46 V。输出电流可达2.5 A,可驱动电感性负载。1脚和15脚下管的发射极分别单独引出以便接入电流采样电阻,形成电流传感信号。L298可驱动2个电动机,OUT1,OUT2和OUT3,OUT4之间可分别接电动机,如图2.7此装置我们选用驱动一台电动机。5,7,10,12脚接输入控制电平,控制电机的正反转。EnA,EnB接PWM端,控制电机的速度。表2.3是L298N功能逻辑图。    图2.5 表2.3 In3,In4的逻辑图与表1.3相同。由表2.3可知EnA为低电平时,电机停止运行,当EnA为PWM时,输入电平为一高一低,电机正或反转。同为低电平电机停止,同为高电平电机刹停。下图是其引脚图: 图2.6 图2.7 图2.8为采用内部集成有两个桥式电 路的专用芯片L298所组成的电机驱动电路。驱动芯片L298是驱动二相和四相步进电机的专用芯片,我们利用它内部的 桥式电路来驱动直流电机,这种方法有一系列的优点。每一组PWM波用来控制一个电机的速度,而另外两个I/O口可以控制电机的正反转,控制比较简单,电路也很简单,一个芯片内包含有8个功率管,这样简化了电路的复杂性,如图所示IOB10、IOB11控制第一个电机的方向,IOB8输入的PWM控制第一个电机的速度;IOB12、IOB13控制第二个电机的方向,IOB9输入的PWM控制第二个电机的速度。 图2.8 2.1.3.4 AD574简介【6】 AD574A 是美国模拟数字公司(Analog)推出的单片高速 12 位逐次比较型 A/D 转换器,内置双极性电路构成的混合集成转换芯片,具有外接元件少,功耗低,精度高等特点,并且具有自动校零和自动极性转换功能,只需外接少量的阻容器件即可构成一个完整的 A/D 转换器. AD574是一种常用的12位AD变换芯片,也可以实现8位转换。有两个模拟信号输入端,分别为10V输入端和20V输入端,各自都既允许单极性输入,也允许双极性输入。但芯片本身是单路工作,只允许一个模拟信号输入端接入信号。它可以和16位CPU相连接,也可以和8位CPU相连接。只需要适当的改变某些控制引脚的接法。AD574可以通过简单的三态门 、锁存器接口与微机的系统总线相连接,也可以通过编程接口与系统总线相连接。采用查询STS状态可判断变换是否完成。 AD574A主要功能特性如下: ①分辨率:12位 ②非线性误差:小于±1/2LBS或±1LBS ③转换速率:25us ④模拟电压输入范围:0—10V和0—20V,0—±5V和0—±10V两档四种 ⑤电源电压:±15V和5V ⑥数据输出格式:12位/8位 ⑦芯片工作模式:全速工作模式和单一工作模式 图2.9 AD574引脚图 AD574A的引脚结构如图2.9。 [1]. Pin1(+V)——+5V电源输入端。 [2]. Pin2(12/8 )——数据模式选择端,通过此引脚可选择数据纵线是12位或8位输出。 [3]. Pin3(CS )——片选端。 [4]. Pin4(A0)——字节地址短周期控制端。与 端用来控制启动转换的方式和数据输出格式。须注意的是, 端TTL电平不能直接+5V或0V 连接。 [5]. Pin5(R/C)——读转换数据控制端。 [6]. Pin6(CE)——使能端。 [7]. Pin7(V+)——正电源输入端,输入+15V电源。 [8]. Pin8(REF OUT)——10V基准电源电压输出端。 [9]. Pin9(AGND)——模拟地端。 [10]. Pin10(REF IN)——基准电源电压输入端。 [11]. Pin(V-)——负电源输入端,输入-15V电源。 [12]. Pin1(V+)——正电源输入端,输入+15V电源。 [13]. Pin13(10V IN)——10V量程模拟电压输入端。 [14]. Pin14(20V IN)——20V量程模拟电压输入端。 [15]. Pin15(DGND)——数字地端。 [16]. Pin16—Pin27(DB0—DB11)——12条数据总线。通过这12条数据总线向外输出A/D转换数据。 [17]. Pin28(STS)——工作状态指示信号端,当STS=1时,表示转换器正处于转换状态,当STS=0时,声明A/D转换结束,通过此信号可以判别A/D转换器的工作状态,作为单片机的中断或查询信号之用。  AD574工作时序的控制功能状态表。 表 2.4 2.1.3.5 LF398简介 LF398是一种反馈型采样保持放大器,也是目前较为流行的通用型采样保持放大器。与LF398结构相同的还有LF198/LF298等,都是由场效应管构成,具有采样速度高,保持电压下降慢和精度高等特点。 当作为单一放大器时,LF398直流增益精度为0.002%,采样时间小于6us时精度可达0.01%;输入偏置电压的调整只需在偏置端(2脚)调整即可,并且在不降低偏置电流的情况下,带宽允许1MHz,其主要技术指标有: 1、工作电压:+5--+18V 2、采样时间:<10us 3、可与TTL、PMOS、CMOS兼容 4、当保持电容为0.01uF时,典型保持步长为0.5mV 5、低输入漂移,保持状态下输入特性不变 6、在采样或保持状态时高电源抑制 下图为集成采样/保持器--LF398引脚图。 图2.10 LF398引脚图 2.1.3.6 3020T简介 霍尔传感器【7】是对磁敏感的传感元件,常用于开关信号采集的有CS3020、CS3040等,这种传感器是一个3端器件,外形与三极管相似,只要接上电源、地,即可工作,输出通常是集电极开路(OC)门输出,工作电压范围宽,使用非常方便。如图2.11所示是CS3020的外形图,将有字面对准自己,三根脚从左右 分别是Vcc,地,输出。 g,\9^ \2B:~#t0  ,~ e O&i​s8I%j0  图2.11 CS3020外形图电子园51单片机学习网 `+P M:X _8W     使用霍尔传感器获得脉冲信号,其机械结构也可以做得较为简单,只要在转轴的圆周上粘上一粒磁钢,让霍尔开关靠近磁钢,就有信号输出,转轴旋转时,就会不断地产生脉冲信号输出。如果在圆周上粘上多粒磁钢,可以实现旋转一周,获得多个脉冲输出。在粘磁钢时要注意,霍尔传感器对磁场方向敏感,粘之前可以先手动接近一下传感器,如果没有信号输出,可以换一个方向再试。 z { t7G5F D0    这种传感器不怕灰尘、油污,在工业现场应用广泛。 2.1.3.7 CS040G简介 CS040G系列霍尔电流传感器 应用霍尔效应开环原理的电流传感器,能在电隔离条件下测量直流、交流、脉冲以及各种不规则波形的电流。 结构参数(mm): 图2.12 引脚说明: 1:+ 15V 2:0V(电源地) 3:Vout 4:-15V 表2.5结构参数   型号 CS010G CS020G CS030G CS040G   IPN 原边额定输入电流 10 20 30 40 A IP 原边电流测量范围                           0~±20 0~±40 0~±60 0~±80 A VSN 副边额定输出电压 1±1% V VC 电源电压 ±12~±15(±5%) V IC 电流消耗  VC=±15V                     < 20 mA Vd 绝缘电压 在原边与副边电路之间2 .5KV有效值/50Hz/1分钟   εL 线性度   ≤1 %FS V0 零点失调电压 TA=25℃                      <±30 mV VOM 磁失调电压                                        IPN→0                        <±20 mV VOT 失调电压温漂                                  IPN=0  TA=–25~+85℃         < ±1 mV/℃ Tr 响应时间 ≤3 μs f 频带宽度(-3dB) DC~20 kHz TA 工作环境温度                  –25~+85 ℃ TS 贮存环境温度                  –40~+100 ℃ RL 负载电阻                   TA=25℃                  ≥10K Ω 使用说明                                                  1.传感器按结构图说明接线,当待测电流从传感器穿芯孔中穿入,即可从输出端测得与被测电流一一对应的电压值。(注:错误的接线可能导致传感器的损坏) 2.根据用户需求定制不同额定输入电流和输出电压的传感器。 3.传感器的输出幅度可根据用户需要进行适当调整。 2.2  PWM信号发生电路设计 2.2.1   PWM的基本原理 直流电机脉冲宽度调制(Pulse Width Modulation-简称PWM) 【8】调速产生于20 世纪70 年代中期,最早用于自动跟踪天文望远镜、自动记录仪表等的驱动,后来由于晶体管器件水平的提高及电路技术的发展, PWM 技术得到了高速发展,各式各样的脉宽调速控制器,脉宽调速模块也应运而生,许多单片机也都有了PWM输出功能。而MCS-51 系列单片机作为应用最广泛的单片机之一,却没有PWM 输出功能,本文采用定时器配合软件的方法实现了MCS-51单片机的PWM输出调速功能,这对精度要求不高的场合是非常实用的。 理论基础:   冲量相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。冲量指窄脉冲的面积。效果基本相同,是指环节的输出响应波形基本相同。低频段非常接近,仅在高频段略有差异。  图2.13 形状不同而冲量相同的各种窄脉冲 面积等效原理:   分别将如图2.13所示的电压窄脉冲加在一阶惯性环节(R-L电路)上,如图2.14a所示。其输出电流i(t)对不同窄脉冲时的响应波形如图2.14b所示。从波形可以看出,在i(t)的上升段,i(t)的形状也略有不同,但其下降段则几乎完全相同。脉冲越窄,各i(t)响应波形的差异也越小。如果周期性地施加上述脉冲,则响应i(t)也是周期性的。用傅里叶级数分解后将可看出,各i(t)在低频段的特性将非常接近,仅在高频段有所不同。 图2.14 冲量相同的各种窄脉冲的响应波形 用一系列等幅不等宽的脉冲来代替一个正弦半波,正弦半波N等分,看成N个相连的脉冲序列,宽度相等,但幅值不等;用矩形脉冲代替,等幅,不等宽,中点重合,面积(冲量)相等,宽度按正弦规律变化。 SPWM波形——脉冲宽度按正弦规律变化而和正弦波等效的PWM波形。 要改变等效输出正弦波幅值,按同一比例改变各脉冲宽度即可。   PWM电流波: 电流型逆变电路进行PWM控制,得到的就是PWM电流波。   SPWM波:等效正弦波形,还可以等效成其他所需波形,如等效所需非正弦交流波形等,其基本原理和SPWM控制相同,也基于等效面积原理 2.2.2   PWM信号发生电路设计 采用定时器及软件编程输出PWM。用单片机控制H桥芯片使之工作在占空比可调的开关状态,精确调整电动机转速。这种电路由于工作在管子的饱和截止模式下,效率非常高;H型电路保证了可以简单地实现转速和方向的控制;电子开关的速度很快,稳定性也极佳,是一种广泛采用的PWM调速技术。 本设计采用H桥驱动芯片L298N来实现PWM电机调速。 图2.15 用PWM波代替正弦半波 2.2.3   H桥芯片的工作原理【9】 图2.16中所示为一个典型的直流电机控制电路。电路得名于“H桥式驱动电路”是因为它的形状酷似字母H。4个三极管组成H的4条垂直腿,而电机就是H中的横杠(注意:图2.16及随后的两个图都只是示意图,而不是完整的电路图,其中三极管的驱动电路没有画出来)。 如图2.16所示,H桥式电机驱动电路包括4个三极管和一个电机。要使电机运转,必须导通对角线上的一对三极管。根据不同三极管对的导通情况,电流可能会从左至右或从右至左流过电机,从而控制电机的转向。  要使电机运转,必须使对角线上的一对三极管导通。例如,如图2.17所示,当Q1管和Q4管导通时,电流就从电源正极经Q1从左至右穿过电机,然后再经Q4回到电源负极。按图中电流箭头所示,该流向的电流将驱动电机顺时针转动。 当三极管Q1和Q4导通时,电流将从左至右流过电机,从而驱动电机按特定方向转动(电机周围的箭头指示为顺时针方向)。    图2.16 H桥式电机驱动电路   图2.17 H桥电路驱动电机顺时针转动 图2.18所示为另一对三极管Q2和Q3导通的情况,电流将从右至左流过电机。当三极管Q2和Q3导通时,电流将从右至左流过电机,从而驱动电机沿另 一方向转动(电机周围的箭头表示为逆时针方向)。 二、使能控制和方向逻辑 驱动电机时,保证H桥上两个同侧的三极管不会同时导通非常重要。如果三极管Q1和Q2同时导通,那么电流就会从正极穿过两个三极管直接回到负极。此时,电路中除了三极管外没有其他任何负载,因此电路上的电流就可能达到最大值(该电流仅受电源性能限制),甚至烧坏三极管。 基于上述原因,在实际驱动电路中通常要用硬件电路方便地控制三极管的开关。   图2.18 H桥电路驱动电机逆时针转动 图2.19所示就是基于这种考虑的改进电路,它在基本H桥电路的基础上增加了4个与门和2个非门。4个与门同一个“使能”导通信号相接,这样,用这一个信号就能控制整个电路的开关。而2个非门通过提供一种方向输人,可以保证任何时候在H桥的同侧腿上都只有一个三极管能导通。(与本节前面的示意图一样,图2.19所示也不是一个完整的电路图,特别是图中与门和三极管直接连接是不能正常工作的。) 采用以上方法,电机的运转就只需要用三个信号控制:两个方向信号和一个使能信号。如果DIR-L信号为0,DIR-R信号为1,并且使能信号是1,那么三极管Q1和Q4导通,电流从左至右流经电机(如图2.20所示);如果DIR-L信号变为1,而DIR-R信号变为0,那么Q2和Q3将导通,电流则反向流过电机。   实际使用的时候,用分立件制作H桥式是很麻烦的,好在现在市面上有很多封装好的H桥集成电路,接上电源、电机和控制信号就可以使用了,在额定的电压、电流内使用非常方便可靠。比如常用的L293D、L298N、TA7257P、SN754410等。 图2.19具有使能控制和方向逻辑的H桥电路   图2.20 使能信号与方向信号的使用 2.3 主电路设计 本设计中电机两端电枢电压由L298提供,通过调节PWM占空比来调节L298输出电压即电机两端电枢电压。主电路设有H桥型二级管电路作为保护电路。 电源经单相整流,电容滤波、稳压后提供本设计所需电源。稳压器7805、7905分别提供+5V、-5V电压,7815、7915分别提供+15V、-15V电压。 转速检测的传感器、电流检测的传感器都要与直流电机连接。 电源部分如图2.21。 2.4  转速和电流的测量 本设计采用3020T和CS040G分别对电机转速和电流进行测量。 3020T其机械结构也可以做得较为简单,只要在转轴的圆周上粘上一粒磁钢,让霍尔开关靠近磁钢,就有信号输出,转轴旋转时,就会不断地产生脉冲信号输出。 设计中采用定时器T0,再配以软件计数器对脉冲进行计数。 CS040G 应用霍尔效应开环原理的电流传感器,能在电隔离条件下测量直流、交流、脉冲以及各种不规则波形的电流。当待测电流从传感器穿芯孔中穿入,即可从输出端测得与被测电流一一对应的电压值。如图2.22。 图2.21 图2.22 2.5   AD转换 由于本设计只有电流信号需要进行AD转换,因此采用单通道AD转换芯片AD574。而电流随着电机转动方向的不同会有正负之分,因此AD574采用双极性接法。AD芯片与采样保持其的连接如下: 图2.23 2.6显示与键盘电路 本设计需要4为LED来实时显示转速值,且当有键盘按下时,要给予相应的显示,本设计采用动态显示。 动态显示方式:动态显示方式是指一位一位地轮流点亮每位显示器(称为扫描),即每个数码管的位选被轮流选中,多个数码管公用一组段选,段选数据仅对位选选中的数码管有效。对于每一位显示器来说,每隔一段时间点亮一次。显示器的亮度既与导通电流有关,也与点亮时间和间隔时间的比例有关。通过调整电流和时间参数,可以既保证亮度,又保证显示。若显示器的位数不大于8位,则显示器的公共端只需一个8位I/O口进行动态扫描(称为扫描口),控制每位显示器所显示的字形也需一个8位口(称为段码输出)。 4位共阳极LED,用PNP型三极管进行驱动。8255A口的PA3到PA0分别控制4位LED的选通,PB口则进行8位笔段代码的传输。 本设计采用3*4矩阵键盘,由8255的C口控制键盘,PC2到PC0作为列线,PC7到PC4作为行线。 第三章 系统软件程序的设计 3.1  PID控制算法原理及流程图 所谓增量式PID是指数字控制器的输出只是控制量的增量Δku。当执行机构需要的控制量是增量,而不是位置量的绝对数值时,可以使用增量式PID控制算法进行控制。 增量式PID控制算法可以通过(式3.1)推导出。 (3.1) 由(式3.1)可以得到控制器的第k-1个采样时刻的输出值为: (3.2) 将(式3.1)与(式3.2)相减并整理,就可以得到增量式PID控制算法公式为: (3.3) 其中: (3.4) 由(式3.3)可以看出,如果计算机控制系统采用恒定的采样周期T,一旦确定A、B、C,只要使用前后三次测量的偏差值,就可以由(式3.3)求出控制量。 增量式PID控制算法与位置式PID算法(式3.1)相比,计算量小的多,因此在实际中得到广泛的应用。 而位置式PID控制算法也可以通过增量式控制算法推出递推计算公式: (3.5) 上式就是目前在计算机控制中广泛应用的数字递推PID控制算法。 物理模型: 图3.1 PID增量式控制算法原理图 图3.2软件算法流程图 在实际编程时α0、α1、α2可预先算出,存入预先固定的单元,设初值e(k-1)、e(k-2)为0。 增量式PID算法的优点(1)位置式算法每次输出与整个过去状态有关,计算式中要用到过去偏差的累加值,容易产生较大的积累误差。而增量式只需计算增量,当存在计算误差或精度不足时,对控制量计算的影响较小。 3.2 系统中部分程序的设计 3.2.1 单片机资源分配 系统设计内存分配表: 地址 功能 地址 功能 E0H~FFH 堆栈 42H 电流给定首地址 39H~3CH 显示缓冲区 53H 电流采样首地址 3DH 置转速比例值 57H 转速采样首地址 3EH 置转速积分值 5BH 中值滤波数据首地址 3FH 置电流比例值 5EH 标度变换数据地址 40H 置电流积分值 45H 转速PID输出首地址 41H 置转速给定首地址 47H 电流PID输出首地址 3.2.2 程序流程图 主程序包括如下三个环节: 实现各种初始化,包括设置堆栈指针、8255初始化、定时器/计数器初始化、以及开中断、定时器/计数器启动等。 实现显示(按照人机对话功能显示各种不同参数) 不断地进行键扫描,判断是否有键按下。如无键按下,则返回;若有键按下,则转各键处理子程序。 主程序流程图如图3.3 系统每隔10ms对转速、电流采样一次,每采样三次,进行一次数据处理。即分别对转速、电流采样值进行中值滤波,标度变换,之后送显示缓存区,对转速进行PID运算,输出作为电流PID运算的输入,电流PID运算的输出用来调节PWM占空比。 中断程序流程如图3.4 图3.3主程序流程图 图3.4 中断程序流程图 结论: 本次设计我做的是直流电机调速,以前也接触过,是在实训的实验台上实现。通过本次设计我了解了许多关于直流电机调速的知识,也查询了许多的资料,并结合自己的想法完成了课题。经过学习,使我对51单片机有了更进一步的了解,对一个系统的设计要如何入手有了更加深刻的体会。在整个设计过程中,也遇到了一些问题,如PID算法、PWM占空比调节等,但经过努力都一一解决了。 本设计的任务是基于单片机控制的PWM直流电机调速系统,系统以单片机为核心,以小直流电机为控制对象,实现速度、电流反馈双闭环、采用PID控制算法。方便的人机对话接口,用键盘输入有关控制信号及参数,可以实现电机的启制动、正反转、速度调节。并在LED上实时显示输入参数及动态转速。 我的设计是基于单片机控制的PWM直流电机调速系统,系统以AT89C52单片机为核心,以2A、1000r/min小直流电机为控制对象,以L298N为H桥驱动芯片实现速度、电流反馈双闭环。采用PID控制算法,调节PWM 占空比从而控制电机两端电压,以达到调速的目的。用4*3键盘输入有关控制信号及参数,可以实现电机的启制动、正反转、速度调节。并在4位LED上实时显示输入参数及动态转速。 我用了Protel 99 se来绘制系统硬件电路图。用实验室现有的资源编译了我的程序,并且通过了编译。 参考文献 1.康万新 毕业设计指导及案例剖析[M],北京:清华大学出版社,2007.5,2~2 2.潘永雄 新编单片机原理与应用[M],西安:西安电子科技大学出版社,第二版,2~7 3.郑学坚 微型计算机原理及应用[M],北京:清华大学出版社,2006.4 起止页码,7~9 4.阎石 数字电子技术基础[M],北京:高等教育出版社,2003.12 ,9~9 5.孙绪才 L298N在直流电机PWM调速中的应用[J],潍坊学院学报,2009.04,9~12 6.潘新民 微型计算机控制技术[M] 电子工业出版社,2003.3,12~16 7.丁芝琴 基于霍尔传感器的电机测速装置的设计[J],农机化研究,2010(5),16~16 8.王兆安 电力电子技术[M] 机械工业出版社,2005.7,19~21 9.陈伯时 电力拖动自动控制系统[M],上海:机械工业出版社,第三版,21~24 附录 T0TIMEL DATA 30H T0TIMEH DATA 31H KEYNAME DATA 32H KEYSTU DATA 33H KEYRTIME DATA 34H PORTCR DATA 35H LEDSP DATA 36H LEDBUF1 DATA 37H LEDBUF2 DATA 38H NDHZ BIT 08H BCD0 DATA 39H BCD1 DATA 3AH BCD2 DATA 3BH BCD3 DATA 3CH PZ DATA 3DH IZ DATA 3EH PI DATA 3FH II DATA 40H NRKH DATA 41H NRKL DATA 42H IRKH DATA 43H IRKL DATA 44H NUKH DATA 45H NUKL DATA 46H IUKH DATA 47H IUKL DATA 48H KP DATA 49H KI DATA 4AH RKH DATA 4BH RHL DATA 4CH MKH DATA 4DH MKL DATA 4EH EKH DATA 4FH EKL DATA 50H EEH DATA 51H EEL DATA 52H MHD EQU 3 I1 DATA 53H I2 DATA 54H I3 DATA 55H I DATA 56H N1H DATA 57H N2H DATA 58H N3H DATA 59H NH DATA 5AH LL1 DATA 5BH LL2 DATA 5CH LL3 DATA 5DH LL DATA 5EH N1L DATA 5FH N2L DATA 60H N3 DATA 61H NL DATA 62H ORG 0000H LJMP MAIN ORG 0100H MAIN: MOV R0,#01H LOOPIC: MOV @R0,#00H INC R0 CJNE R0,#00H,LOOPIC KAISHI: MOV SP,#0E0H MOV DPTR,#83FCH MOV A,#88H MOVX @DPTR,A MOV TL0,#00H MOV TH0,#00H MOV DPTR,#T0TIMEL MOV A,#0F0H MOVX @DPTR,A MOV DPTR,#T0TIMEH MOV A,#0D8H MOVX @DPTR,A MOV TL1,#T0TIMEL MOV TH1,#T0TIMEH MOV TMOD,#14H MOV TL2,#0F0H MOV TH2,#0D8H MOV RCAP2H,#0D8H MOV RCAP2L,#0F0H MOV T2CON,#00000100B SETB ET0 SETB ET1 SETB ET2 SETB EA SETB TR0 SETB TR1 MOV R1,#11111110B lcd00: MOV DPTR,#83FCH MOV A,R1 MOVX @DPTR,A MOV DPTR,#83FDH MOV A,#0C0H MOVX @DPTR,A MOV A,R1 RL A JNB ACC.4,lcd00 KEYCHK: MOV KEYSTU,#07H MOV KEYNAME,#1FH MOV DPTR,#83FEH MOV A,PORTCR ANL A,#0F8H MOVX @DPTR,A MOV DPTR,#83FEH MOVX A,@DPTR SWAP A ANL A,#0FH CJNE A,#0FH,NEXT1 SETB C SJMP NEXT2 NEXT1: CLR C NEXT2: MOV A,KEYSTU RLC A ANL A,#07H MOV KEYSTU,A CJNE A,#2,NEXT3 ANL KEYSTU ,#0F8H SJMP NEXT51 NEXT3: CJNE A,#4,NEXT4 SJMP NEXT52 NEXT4: CJNE A,#0,NEXT5 NEXT51: MOV A,KEYRTIME CJNE A,#0,NEXT6 NEXT52: LCALL KEYSCAN SJMP NEXT6 NEXT5: CJNE A,#6,NEXT6 ORL KEYSTU,#07H NEXT6: MOV DPTR,#83FEH MOV A,PORTCR ORL A,#07H MOVX @DPTR,A RET END ORG 0200H KEYSCAN: MOV R7,#3 MOV R1,#0 MOV R3,#01111111B LOOP1: MOV A,R3 RL A MOV R3,A ANL A,#07H MOV B,A MOV DPTR,#83FEH MOV A,PORTCR ANL A,#0F8H ORL A,B MOVX @DPTR,A MOV DPTR,#83FEH MOVX A,@DPTR SWAP A ANL A,#0FH CJNE A,#0FH,NEXT1 INC R1 DJNZ R7,LOOP1 SJMP EXIT NEXTA1: JB ACC.0,NEXTA2 MOV R2,#0 SJMP NEXTA5 NEXTA2: JB ACC.1,NEXTA3 MOV R2,#1 SJMP NEXTA5 NEXTA3: JB ACC.2,NEXTA4 MOV R2,#2 SJMP NEXTA5 NEXTA4: MOV R2,#3 NEXTA5: MOV A,R1 RL A RL A ADD A,R2 MOV DPTR,#KEYTAB MOVC A,@DPTR MOV KEYNAME,A ORL KEYNAME,#80H MOV KEYRTIME ,#25 MOV DPTR,#TAB1 MOV B,#03H MUL AB JMP @A+DPTR EXIT: RET END KEYTAB: DB 10H,11H,12H,13H,0AH,01H,04H,07H,00H,02H,05H,08H ORG 0300H TAB1: LJMP KEY0 LJMP KEY1 LJMP KEY2 LJMP KEY3 LJMP KEY4 LJMP KEY5 LJMP KEY6 LJMP KEY7 LJMP KEY8 LJMP KEY9 LJMP KEYA LJMP KEYB EXIT: RET END KEY0: SETB P1.1 CLR P1.2 LJMP KEYCHK KEY1: SETB P1.2 CLR P1.1 LJMP KEYCHK KEY2: CLR P1.1 CLR P1.2 LJMP KEYCHK KEY3: MOV NRKH,BCD1 MOV NRKL,BCD2 SWAP NRKL ORL NRKL ,BCD1 LJMP KEYCHK PZ: MOV PZ,BCD2 SWAP PZ ORL PZ ,BCD1 LJMP KEYCHK IZ: MOV PZ,BCD2 SWAP PZ ORL PZ ,BCD1 LJMP KEYCHK PI: MOV PI,BCD2 SWAP PI ORL PI ,BCD1 LJMP KEYCHK II: MOV II,BCD2 SWAP II ORL II ,BCD1 LJMP KEYCHK UP: INC BCD LCALL LCD LJMP KEYCHK DOWN: DEC BCD LCALL LCD LJMP KEYCHK YW: MOV R2,#11111110B RL R2 JB R2.4 YWI MOV DPTR,#83FCH MOV A,R2 MOVX @DPTR,A LJMP KEYCHK ctc1 : PUSH ACC PUSH PSW clr p1.0 POP PSW POP ACC RETI CTC2: PUSH ACC PUSH PSW Clr tr0 Clr tr1 SETB p1.0 SET tr0 SETB tr1 POP PSW POP ACC AD574A: ;AD 转换 MOV DPTR,#087FdH ;送端口地址 MOVX ﹫DPTR,A ;启动AD574A LOOPa:JB P1.7,LOOP a ;检测P1.7口 INC DPTR ;使R/C为1 MOVX A,﹫DPTR ;读取8位数据 MOV I1 A INC I1 ;8位内容存入单元 MOV A,TL0 RL A RL A RL A RL A MOV B,#375 MUL AB MOV N1H,B MOV N1L,A INC N1H INC N1L NN: DEC MHD LOOPM: CJNE MHD,#0,LOOP MOV I1,# 53H MOV N1H,#57H MOV NIL,#5FH IIII:MOV LL1,I1 MOV LL2,I2 MOV LL3,I3 LCALL LB MOV I,LL MOV A,#05H RR A RR A RR A RR A RR A MOV B,I MUL AB MOV R2,A MOV R3,B LCALLL BCDBH LCALL LCD LCALL PID MOV UKI1,R4 MOV UKI2,R5 MOV UKI3,R6 PWM : MOV A,UK MOV B,#0D8FH MULAB MOV T0TIMEL,A MOV T0TIMEH,B MOV TL1,#T0TIMEL MOV TH1,#TOTIMEH NNNN: MOV LL1,N1H MOV LL2,N2H MOV LL3,N3H JMP LB MOV NH,LL MOV A,#7DH RR A RR A RR A RR A RR A MOV B,N MUL AB MOV R2,A MOV R3,B LCALL BCDBH LCALL LCD LCALL PID MOV RKI1,R4 MOV RKI2,R5 MOV RKI3,R6 RET ORG 0500H LB: PUSH ACC PUSH PSW MOV A,LL1 ;中值滤波 CJNE A,LL2,LB1 LJMP LB4 LB1:JNC LB2 XCH A,LL2 XCH A,LL1 LB2:MOV A,LL2 CJNE A,LL3,LB3 LJMP LB6 LB3: JC LB4 LJMP LB6 LB4: MOV A,LL1 CJNE A,LL1,LB5 LJMP LB6 LB5: JC LB6 XCH A,LL1 LB6: MOV LL,A POP PSW POP ACC RET ORG 0600H BCDBH: PUSH ACC PUSH PSW CLR F0 MOV R7,#8 MOV R0,#100 LOOPBH:CLR C MOV A,R3 SUBB A,#100 ANL C,/F0 JC NEXTBH1 MOV R3,A NEXTBH1:CPL C MOV A,R2 RLC A MOV R2,A MOV A,R3 RLC A MOV R3,A MOV F0,C DJNZ R7,LOOPBH CLR C MOV A,R3 SUBB A,R0 ANL C,/F0 JC NEXTBH2 MOV R3,A NEXTBH2: CPL C MOV A,R2 RLC A MOV R2,A MOV A,R3 MOV B,#10 DIV A,B SWAP A ORL A,B MOV R3,A POP PSW POP ACC ORG 0700H PID: PUSH ACC PUSH PSW MOV A,RKL MOV B,MKL SUBB AB MOV EKL,A MOV A,RKH MOV B,MKH SUBB A,B MOV EKH,A MOV A,EKL MOV B,EK0L SUBB AB MOV EEL,A MOV A,EKH MOV B,EK0H SUBB AB MOV EEH,A MOV A,EEL MOV B,KP MUL AB MOV R4,A MOV R5,B MOV A,EEH MOV B,KP MUL AB ADD A,R5 MOV R5,A MOV R6,B MOV A,EEL MOV B,KI MUL AB ADD A,R4 MOV R4,A ADD B,R5 MOV R5,B MOV A,EEH MOV B,KI MUL AB ADD A,R5 MOV R5,A ADD B,R6 MOV R6,B POP PSW POP ACC ORG 0400H LCD: MOV A,LEDSP ANL A,#03H ADD A,#LEDBUF2 MOV R0,A MOV #83FDH,@R0 MOV A,LEDSP ANL A,#03H CJNE A,#0,NEXTB1 MOV #83FCH,#11110111B SJMP EXIT NEXTB1: CJNE A,#1,NEXTB2 MOV #83FCH,#11111011B SJMP EXIT NEXTB2: CJNE A,#2,NEXTB3 MOV #83FCH,#11111101B SJMP EXIT NEXTB3: MOV #83FCH,#11111110B EXIT: INC LEDSP RETI END DISPTAB: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H,88H,83H,0C6H,0A1H,86H,8EH DISPC: MOV R0,#LEDBUF1 MOV R1,#LEDBUF2 MOV R2,#7 MOV DPTR ,#DISPTAB SETB NDHZ LOOP11: MOV A,@R0 JNB NDHZ,NEXTB1 CJNE A,#0,NEXTB2 MOV @R1,#0FFH LJMP NEXTB3 NEXTB2: CLR NDHZ NEXTB1: MOVC A,@A+DPTR MOV @R1,A NEXTB3: INC R0 INC R1 DJNZ R2,LOOP11 MOV A,@R0 MOVC A,@A+DPTR MOV @R1,A RET END 致谢 通过这次毕业设计,我学到了很多东西,感觉到理论和现实的差别。在过去四年多的大学学习中,大部分时间是在跟着老师学习,学习过去的一些基本理论、一些基本的思想,感觉上好像学习就应是这个样子,就应该是老师让我们干什么我们就干什么,没有自己真正实践过。第一次接触这样的课程,十分高兴。它能充分调动大家的积极性。不仅锻炼能力,而且可以学到很多书本上没有的东西。我上网查找相关的资料,并从众多资料中筛选出对自己有用的东西,真正锻炼了我的能力。在这次的设计中,也得到了同学和老师的帮助。通过这次学习,使我对本专业有了更深的认识,也大大提高了我的动手能力。 通过亲身体验做毕业设计,我觉得安排毕业设计的基本目的,在于通过理论与实际的结合,进一步提高观察、分析和解决问题的实际工作能力,以便培养成为能够主动适应社会主义现代化建设需要的高素质的复合型人才。运用学习成果,把课堂上学到的系统化的理论知识,尝试性地应用于实际设计工作,并从理论的高度对设计工作的现代化提出一些有针对性的建议和设想。检验学习成果,看一看课堂学习与实际工作到底有多大距离,并通过综合分析,找出学习中存在的不足,以便为完善学习 计划 项目进度计划表范例计划下载计划下载计划下载课程教学计划下载 ,改变学习内容与方法提供实践依据。 对我们自动化专业的学生来说,实际能力的培养至关重要,而这种实际能力的培养单靠 课堂教学是远远不够的,必须从课堂走向实践。通过毕业设计,让我们找出自身状况与实际需要的差距,并在以后的学习期间及时补充相关知识,为求职与正式工作做好充分的知识、能力准备,从而缩短从校园走向社会的心理转型期。 在设计过程中,体会到了设计一项课题的不易,也体会到了设计成功之后的小小成就感和同学之间相互合作的默契。更重要的是,通过课程设计,我发现了自身存在的更多不足之处和实际应用能力方面的欠缺,这些不足之处在今后的学习之中要有意识的弥补和改变。 感谢在课程设计过程中一直耐心、认真、负责的指导我的贾玉瑛老师,以及给与我帮助的所有同学。 B b u/V E K;k0tgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaGtgKQcWA3PtGZ7R4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGshLs50cLmTWN60eo8Wgqv7XAv2OHUm32WGeaUwYDIAWGMeR4I30kA1DkaGhn3XtKknBYCUDxqA7FHYi2CHhI92tgKQcWA3PtGZ7R4I30kA1DkaG 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作 者 签 名:       日  期:        ​​​​​​​​​​​​ 指导教师签名:        日  期:        使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:        日  期:        ​​​​​​​​​​​​ 学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名: 日期: 年 月 日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权      大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名: 日期: 年 月 日 导师签名: 日期: 年 月 日 指导教师评阅书 指导教师评价: 一、撰写(设计)过程 1、学生在论文(设计)过程中的治学态度、工作精神 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、学生掌握专业知识、技能的扎实程度 □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、学生综合运用所学知识和专业技能分析和解决问题的能力 □ 优 □ 良 □ 中 □ 及格 □ 不及格 4、研究方法的科学性;技术线路的可行性;设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 的合理性 □ 优 □ 良 □ 中 □ 及格 □ 不及格 5、完成毕业论文(设计)期间的出勤情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 三、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 建议成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 指导教师: (签名) 单位: (盖章) 年 月 日 评阅教师评阅书 评阅教师评价: 一、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 建议成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 评阅教师: (签名) 单位: (盖章) 年 月 日 教研室(或答辩小组)及教学系意见 教研室(或答辩小组)评价: 一、答辩过程 1、毕业论文(设计)的基本要点和见解的叙述情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、对答辩问题的反应、理解、表达情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、学生答辩过程中的精神状态 □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 三、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 评定成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 教研室主任(或答辩小组组长): (签名) 年 月 日 教学系意见: 系主任: (签名) 年 月 日 学位论文原创性声明 本人郑重声明:所呈交的学位论文,是本人在导师的指导下进行的研究工作所取得的成果。尽我所知,除文中已经特别注明引用的内容和致谢的地方外,本论文不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式注明并表示感谢。本人完全意识到本声明的法律结果由本人承担。 学位论文作者(本人签名): 年 月 日 学位论文出版授权书 本人及导师完全同意《中国博士学位论文全文数据库出版 章程 公司章程范本下载项目章程下载公司章程下载公司章程下载公司章程下载 》、《中国优秀硕士学位论文全文数据库出版章程》(以下简称“章程”),愿意将本人的学位论文提交“中国学术期刊(光盘版)电子杂志社”在《中国博士学位论文全文数据库》、《中国优秀硕士学位论文全文数据库》中全文发表和以电子、网络形式公开出版,并同意编入CNKI《中国知识资源总库》,在《中国博硕士学位论文评价数据库》中使用和在互联网上传播,同意按“章程”规定享受相关权益。 论文密级: □公开 □保密(___年__月至__年__月)(保密的学位论文在解密后应遵守此协议) 作者签名:_______ 导师签名:_______ _______年_____月_____日 _______年_____月_____日 独 创 声 明 本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。 本声明的法律后果由本人承担。   作者签名: 二〇一〇年九月二十日   毕业设计(论文)使用授权声明 本人完全了解滨州学院关于收集、保存、使用毕业设计(论文)的规定。 本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。 (保密论文在解密后遵守此规定)   作者签名: 二〇一〇年九月二十日 致 谢 时间飞逝,大学的学习生活很快就要过去,在这四年的学习生活中,收获了很多,而这些成绩的取得是和一直关心帮助我的人分不开的。 首先非常感谢学校开设这个课题,为本人日后从事计算机方面的工作提供了经验,奠定了基础。本次毕业设计大概持续了半年,现在终于到结尾了。本次毕业设计是对我大学四年学习下来最好的检验。经过这次毕业设计,我的能力有了很大的提高,比如操作能力、分析问题的能力、合作精神、严谨的工作作风等方方面面都有很大的进步。这期间凝聚了很多人的心血,在此我表示由衷的感谢。没有他们的帮助,我将无法顺利完成这次设计。 首先,我要特别感谢我的知道郭谦功老师对我的悉心指导,在我的论文书写及设计过程中给了我大量的帮助和指导,为我理清了设计思路和操作方法,并对我所做的课题提出了有效的改进方案。郭谦功老师渊博的知识、严谨的作风和诲人不倦的态度给我留下了深刻的印象。从他身上,我学到了许多能受益终生的东西。再次对周巍老师表示衷心的感谢。 其次,我要感谢大学四年中所有的任课老师和辅导员在学习期间对我的严格要求,感谢他们对我学习上和生活上的帮助,使我了解了许多专业知识和为人的道理,能够在今后的生活道路上有继续奋斗的力量。 另外,我还要感谢大学四年和我一起走过的同学朋友对我的关心与支持,与他们一起学习、生活,让我在大学期间生活的很充实,给我留下了很多难忘的回忆。 最后,我要感谢我的父母对我的关系和理解,如果没有他们在我的学习生涯中的无私奉献和默默支持,我将无法顺利完成今天的学业。 四年的大学生活就快走入尾声,我们的校园生活就要划上句号,心中是无尽的难舍与眷恋。从这里走出,对我的人生来说,将是踏上一个新的征程,要把所学的知识应用到实际工作中去。 回首四年,取得了些许成绩,生活中有快乐也有艰辛。感谢老师四年来对我孜孜不倦的教诲,对我成长的关心和爱护。 学友情深,情同兄妹。四年的风风雨雨,我们一同走过,充满着关爱,给我留下了值得珍藏的最美好的记忆。 在我的十几年求学历程里,离不开父母的鼓励和支持,是他们辛勤的劳作,无私的付出,为我创造良好的学习条件,我才能顺利完成完成学业,感激他们一直以来对我的抚养与培育。 最后,我要特别感谢我的导师***老师、和研究生助教***老师。是他们在我毕业的最后关头给了我们巨大的帮助与鼓励,给了我很多解决问题的思路,在此表示衷心的感激。老师们认真负责的工作态度,严谨的治学精神和深厚的理论水平都使我收益匪浅。他无论在理论上还是在实践中,都给与我很大的帮助,使我得到不少的提高这对于我以后的工作和学习都有一种巨大的帮助,感谢他耐心的辅导。在论文的撰写过程中老师们给予我很大的帮助,帮助解决了不少的难点,使得论文能够及时完成,这里一并表示真诚的感谢。 致 谢 这次论文的完成,不止是我自己的努力,同时也有老师的指导,同学的帮助,以及那些无私奉献的前辈,正所谓你知道的越多的时候你才发现你知道的越少,通过这次论文,我想我成长了很多,不只是磨练了我的知识厚度,也使我更加确定了我今后的目标:为今后的计算机事业奋斗。在此我要感谢我的指导老师——***老师,感谢您的指导,才让我有了今天这篇论文,您不仅是我的论文导师,也是我人生的导师,谢谢您!我还要感谢我的同学,四年的相处,虽然我未必记得住每分每秒,但是我记得每一个有你们的精彩瞬间,我相信通过大学的历练,我们都已经长大,变成一个有担当,有能力的新时代青年,感谢你们的陪伴,感谢有你们,这篇论文也有你们的功劳,我想毕业不是我们的相处的结束,它是我们更好相处的开头,祝福你们!我也要感谢父母,这是他们给我的,所有的一切;感谢母校,尽管您不以我为荣,但我一直会以我是一名农大人为荣。 通过这次毕业设计,我学习了很多新知识,也对很多以前的东西有了更深的记忆与理解。漫漫求学路,过程很快乐。我要感谢信息与管理科学学院的老师,我从他们那里学到了许多珍贵的知识和做人处事的道理,以及科学严谨的学术态度,令我受益良多。同时还要感谢学院给了我一个可以认真学习,天天向上的学习环境和机会。 即将结束*大学习生活,我感谢****大学提供了一次在农大接受教育的机会,感谢院校老师的无私教导。感谢各位老师审阅我的论文。 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作 者 签 名:       日  期:        ​​​​​​​​​​​​ 指导教师签名:        日  期:        使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:        日  期:        ​​​​​​​​​​​​ 学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名: 日期: 年 月 日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权      大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名: 日期: 年 月 日 导师签名: 日期: 年 月 日 独 创 声 明 本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。 本声明的法律后果由本人承担。   作者签名: 年 月 日   毕业设计(论文)使用授权声明 本人完全了解**学院关于收集、保存、使用毕业设计(论文)的规定。 本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。 (保密论文在解密后遵守此规定)   作者签名: 年 月 日 基本要求:写毕业论文主要目的是培养学生综合运用所学知识和技能,理论联系实际,独立分析,解决实际问题的能力,使学生得到从事本专业工作和进行相关的基本训练。毕业论文应反映出作者能够准确地掌握所学的专业基础知识,基本学会综合运用所学知识进行科学研究的方法,对所研究的题目有一定的心得体会,论文题目的范围不宜过宽,一般选择本学科某一重要问题的一个侧面。 毕业论文的基本教学要求是: 1、培养学生综合运用、巩固与扩展所学的基础理论和专业知识,培养学生独立分析、解决实际问题能力、培养学生处理数据和信息的能力。2、培养学生正确的理论联系实际的工作作风,严肃认真的科学态度。3、培养学生进行社会调查研究;文献资料收集、阅读和整理、使用;提出论点、综合论证、总结写作等基本技能。 毕业论文是毕业生总结性的独立作业,是学生运用在校学习的基本知识和基础理论,去分析、解决一两个实际问题的实践锻炼过程,也是学生在校学习期间学习成果的综合性总结,是整个教学活动中不可缺少的重要环节。撰写毕业论文对于培养学生初步的科学研究能力,提高其综合运用所学知识分析问题、解决问题能力有着重要意义。 毕业论文在进行编写的过程中,需要经过开题报告、论文编写、论文上交评定、论文答辩以及论文评分五个过程,其中开题报告是论文进行的最重要的一个过程,也是论文能否进行的一个重要指标。 撰写意义:1.撰写毕业论文是检验学生在校学习成果的重要措施,也是提高教学质量的重要环节。大学生在毕业前都必须完成毕业论文的撰写任务。申请学位必须提交相应的学位论文,经答辩通过后,方可取得学位。可以这么说,毕业论文是结束大学学习生活走向社会的一个中介和桥梁。毕业论文是大学生才华的第一次显露,是向祖国和人民所交的一份有份量的答卷,是投身社会主义现代化建设事业的报到书。一篇毕业论文虽然不能全面地反映出一个人的才华,也不一定能对社会直接带来巨大的效益,对专业产生开拓性的影响。但是,实践证明,撰写毕业论文是提高教学质量的重要环节,是保证出好人才的重要措施。 2.通过撰写毕业论文,提高写作水平是干部队伍“四化”建设的需要。党中央要求,为了适应现代化建设的需要,领导班子成员应当逐步实现“革命化、年轻化、知识化、专业化”。这个“四化”的要求,也包含了对干部写作能力和写作水平的要求。 3.提高大学生的写作水平是社会主义物质文明和精神文明建设的需要。在新的历史时期,无论是提高全族的科学文化水平,掌握现代科技知识和科学管理方法,还是培养社会主义新人,都要求我们的干部具有较高的写作能力。在经济建设中,作为领导人员和机关的办事人员,要写指示、通知、总结、调查报告等应用文;要写说明书、广告、解说词等说明文;还要写科学论文、经济评论等议论文。在当今信息社会中,信息对于加快经济发展速度,取得良好的经济效益发挥着愈来愈大的作用。写作是以语言文字为信号,是传达信息的方式。信息的来源、信息的收集、信息的储存、整理、传播等等都离不开写作。 论文种类:毕业论文是学术论文的一种形式,为了进一步探讨和掌握毕业论文的写作规律和特点,需要对毕业论文进行分类。由于毕业论文本身的内容和性质不同,研究领域、对象、方法、表现方式不同,因此,毕业论文就有不同的分类方法。 按内容性质和研究方法的不同可以把毕业论文分为理论性论文、实验性论文、描述性论文和设计性论文。后三种论文主要是理工科大学生可以选择的论文形式,这里不作介绍。文科大学生一般写的是理论性论文。理论性论文具体又可分成两种:一种是以纯粹的抽象理论为研究对象,研究方法是严密的理论推导和数学运算,有的也涉及实验与观测,用以验证论点的正确性。另一种是以对客观事物和现象的调查、考察所得观测资料以及有关文献资料数据为研究对象,研究方法是对有关资料进行分析、综合、概括、抽象,通过归纳、演绎、类比,提出某种新的理论和新的见解。 按议论的性质不同可以把毕业论文分为立论文和驳论文。立论性的毕业论文是指从正面阐述论证自己的观点和主张。一篇论文侧重于以立论为主,就属于立论性论文。立论文要求论点鲜明,论据充分,论证严密,以理和事实服人。驳论性毕业论文是指通过反驳别人的论点来树立自己的论点和主张。如果毕业论文侧重于以驳论为主,批驳某些错误的观点、见解、理论,就属于驳论性毕业论文。驳论文除按立论文对论点、论据、论证的要求以外,还要求针锋相对,据理力争。 按研究问题的大小不同可以把毕业论文分为宏观论文和微观论文。凡届国家全局性、带有普遍性并对局部工作有一定指导意义的论文,称为宏观论文。它研究的面比较宽广,具有较大范围的影响。反之,研究局部性、具体问题的论文,是微观论文。它对具体工作有指导意义,影响的面窄一些。 另外还有一种综合型的分类方法,即把毕业论文分为专题型、论辩型、综述型和综合型四大类: 1.专题型论文。这是分析前人研究成果的基础上,以直接论述的形式发表见解,从正面提出某学科中某一学术问题的一种论文。如本书第十二章例文中的《浅析领导者突出工作重点的方法与艺术》一文,从正面论述了突出重点的工作方法的意义、方法和原则,它表明了作者对突出工作重点方法的肯定和理解。2.论辩型论文。这是针对他人在某学科中某一学术问题的见解,凭借充分的论据,着重揭露其不足或错误之处,通过论辩形式来发表见解的一种论文。3.综述型论文。这是在归纳、总结前人或今人对某学科中某一学术问题已有研究成果的基础上,加以介绍或评论,从而发表自己见解的一种论文。4.综合型论文。这是一种将综述型和论辩型两种形式有机结合起来写成的一种论文。如《关于中国民族关系史上的几个问题》一文既介绍了研究民族关系史的现状,又提出了几个值得研究的问题。因此,它是一篇综合型的论文。 写作步骤:毕业论文是高等教育自学考试本科专业应考者完成本科阶段学业的最后一个环节,它是应考者的 总结 性独立作业,目的在于总结学习专业的成果,培养综合运用所学知识解决实际 问题 的能力。从文体而言,它也是对某一专业领域的现实问题或 理论 问题进行 科学 研究 探索的具有一定意义的论说文。完成毕业论文的撰写可以分两个步骤,即选择课题和研究课题。 首先是选择课题。选题是论文撰写成败的关键。因为,选题是毕业论文撰写的第一步,它实际上就是确定“写什么”的问题,亦即确定科学研究的方向。如果“写什么”不明确,“怎么写”就无从谈起。 教育部自学考试办公室有关对毕业论文选题的途径和要求是“为鼓励理论与工作实践结合,应考者可结合本单位或本人从事的工作提出论文题目,报主考学校审查同意后确立。也可由主考学校公布论文题目,由应考者选择。毕业论文的总体要求应与普通全日制高等学校相一致,做到通过论文写作和答辩考核,检验应考者综合运用专业知识的能力”。但不管考生是自己任意选择课题,还是在主考院校公布的指定课题中选择课题,都要坚持选择有科学价值和现实意义的、切实可行的课题。选好课题是毕业论文成功的一半。 第一、要坚持选择有科学价值和现实意义的课题。科学研究的目的是为了更好地认识世界、改造世界,以推动社会的不断进步和发展 。因此,毕业论文的选题,必须紧密结合社会主义物质文明和精神文明建设的需要,以促进科学事业发展和解决现实存在问题作为出发点和落脚点。选题要符合科学研究的正确方向,要具有新颖性,有创新、有理论价值和现实的指导意义或推动作用,一项毫无意义的研究,即使花很大的精力,表达再完善,也将没有丝毫价值。具体地说,考生可从以下三个方面来选题。首先,要从现实的弊端中选题,学习了专业知识,不能仅停留在书本上和理论上,还要下一番功夫,理论联系实际,用已掌握的专业知识,去寻找和解决工作实践中急待解决的问题。其次,要从寻找科学研究的空白处和边缘领域中选题,科学研究。还有许多没有被开垦的处女地,还有许多缺陷和空白,这些都需要填补。应考者应有独特的眼光和超前的意识去思索,去发现,去研究。最后,要从寻找前人研究的不足处和错误处选题,在前人已提出来的研究课题中,许多虽已有初步的研究成果,但随着社会的不断发展,还有待于丰富、完整和发展,这种补充性或纠正性的研究课题,也是有科学价值和现实指导意义的。 第二、要根据自己的能力选择切实可行的课题。毕业论文的写作是一种创造性劳动,不但要有考生个人的见解和主张,同时还需要具备一定的客观条件。由于考生个人的主观、客观条件都是各不相同的,因此在选题时,还应结合自己的特长、兴趣及所具备的客观条件来选题。具体地说,考生可从以下三个方面来综合考虑。首先,要有充足的资料来源。“巧妇难为无米之炊”,在缺少资料的情况下,是很难写出高质量的论文的。选择一个具有丰富资料来源的课题,对课题深入研究与开展很有帮助。其次,要有浓厚的研究兴趣,选择自己感兴趣的课题,可以激发自己研究的热情,调动自己的主动性和积极性,能够以专心、细心、恒心和耐心的积极心态去完成。最后,要能结合发挥自己的业务专长,每个考生无论能力水平高低,工作岗位如何,都有自己的业务专长,选择那些能结合自己工作、发挥自己业务专长的课题,对顺利完成课题的研究大有益处。 致 谢 这次论文的完成,不止是我自己的努力,同时也有老师的指导,同学的帮助,以及那些无私奉献的前辈,正所谓你知道的越多的时候你才发现你知道的越少,通过这次论文,我想我成长了很多,不只是磨练了我的知识厚度,也使我更加确定了我今后的目标:为今后的计算机事业奋斗。在此我要感谢我的指导老师——***老师,感谢您的指导,才让我有了今天这篇论文,您不仅是我的论文导师,也是我人生的导师,谢谢您!我还要感谢我的同学,四年的相处,虽然我未必记得住每分每秒,但是我记得每一个有你们的精彩瞬间,我相信通过大学的历练,我们都已经长大,变成一个有担当,有能力的新时代青年,感谢你们的陪伴,感谢有你们,这篇论文也有你们的功劳,我想毕业不是我们的相处的结束,它是我们更好相处的开头,祝福你们!我也要感谢父母,这是他们给我的,所有的一切;感谢母校,尽管您不以我为荣,但我一直会以我是一名农大人为荣。 通过这次毕业设计,我学习了很多新知识,也对很多以前的东西有了更深的记忆与理解。漫漫求学路,过程很快乐。我要感谢信息与管理科学学院的老师,我从他们那里学到了许多珍贵的知识和做人处事的道理,以及科学严谨的学术态度,令我受益良多。同时还要感谢学院给了我一个可以认真学习,天天向上的学习环境和机会。 即将结束*大学习生活,我感谢****大学提供了一次在**大接受教育的机会,感谢院校老师的无私教导。感谢各位老师审阅我的论文。
本文档为【基于单片机的PWM直流调速系统设计毕业论文】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: ¥11.9 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
不系舟红枫
从教近30年,经验丰富,教学水平较高
格式:doc
大小:844KB
软件:Word
页数:70
分类:工学
上传时间:2019-01-23
浏览量:69