首页 施耐德变频器故障代码对照表

施耐德变频器故障代码对照表

举报
开通vip

施耐德变频器故障代码对照表Documentnumber:NOCG-YUNOO-BUYTT-UU986-1986UT施耐德变频器故障代码对照表施耐德变频器故障代码对照表  OC过电流  1.加速时间过短  2.减速时间过短  3.V/F曲线不合适  4.载波频率不合适  5.直流制动时制动电压过高  6.直流制动时制动时间过长  7.直流制动时制动频率过高  8.输出侧短路  9.变频器瞬间停止输出,对旋转中电机实施再起动  10.变频器周围环境温度过高  11.电机堵转或负载太重  12.负载发生急剧变化  13.外部接线错误  14.电机...

施耐德变频器故障代码对照表
Documentnumber:NOCG-YUNOO-BUYTT-UU986-1986UT施耐德变频器故障代码 对照表 免费下载字号和pt对照表省市对照表下载尺码对照表下载、简繁体字对照表下载三体系对照表免费下载 施耐德变频器故障代码对照表  OC过电流  1.加速时间过短  2.减速时间过短  3.V/F曲线不合适  4.载波频率不合适  5.直流制动时制动电压过高  6.直流制动时制动时间过长  7.直流制动时制动频率过高  8.输出侧短路  9.变频器瞬间停止输出,对旋转中电机实施再起动  10.变频器周围环境温度过高  11.电机堵转或负载太重  12.负载发生急剧变化  13.外部接线错误  14.电机绕组与电机外壳短路  15.电机接线与大地短路  16.电源瞬间变化  17.干扰  18.是否是特殊电机(如特殊电机,阻抗比较小)  19.变频器逆变电路存在问 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题   20.变频器正反转切换  21.变频器与电机间的接线松动1.延长加速时间  2.延长减速时间  3.检查并更改V/F设定  4.检查并更改载波频率  5.降低直流电压  6.减小制动时间  7.降低制动频率  8.检查输出测是否短接  9.等待电机停转后再起动  10.检查冷却风扇是否正常,环境温度是否正常  11.检查电机及负载  12.减小负载的突变  13.重新检查接线  14.检查电机  15.检查电机接线  16.检查输入电源  17.检查接地线、屏蔽线接地情况及端子情况  18.更换电机或更改变频器功能参数  19.变频器维修  20.延长加减速时间和正反转切换死区时间  21.检查变频器与电机间的连线  OE过压1.输入电压异常  2.减速时间过短  3.负载惯性较大  4.瞬间掉电,得电后重新运行正在运转的电机  5.变频器运转中,切断电机与变频器的连接  6.能耗制动电阻选择不合适7.外部接线错误1.检查输入电压  2.延长减速时间  3.延长减速时间或使用制动装置  4.等待电机停转后再起动  5.更改操作顺序  6.根据负载重新选择制动电阻  7.重新检查接线  OL过载  1.负载过大  2.V/F曲线不合适  3.加速时间设定不合适,进行急加速  4.电源电压过低  5.过载参数设定不合适6.负载发生频繁波动1.减小负载或加大变频器容量  2.检查并更改V/F设定  3.检查电源电压  4.重新设定过载参数  5.减小负载波动或更改过载功能参数  OH过热1.冷却风扇损坏  2.周围环境温度过高  3.负载太大  4.风道堵塞5.安装位置不利通风1.更换冷却风扇  2.增大通风,降低环境温度  3.检查负载是否异常  4.清理风道  5.按要求安装  PF缺相  1.输入电源缺相2.干扰1.检查电源电压和安装配线  2.增大滤波常数PO欠压1.输入电压偏低2.干扰1.检查电源电压和安装配线  2.增大滤波常数
本文档为【施耐德变频器故障代码对照表】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: ¥18.0 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
民贵
台资企业品质管理经验
格式:doc
大小:35KB
软件:Word
页数:8
分类:企业经营
上传时间:2021-11-04
浏览量:56