首页 基于vhdl语言数字时钟设计说明书

基于vhdl语言数字时钟设计说明书

举报
开通vip

基于vhdl语言数字时钟设计说明书基于vhdl语言数字时钟设计说明书 一、设计要求............................................................................................................ 1 二、设计原理及框图 ................................................................................................. 1 1、设计原理 .........

基于vhdl语言数字时钟设计说明书
基于vhdl语言数字时钟设计说明书 一、设计要求............................................................................................................ 1 二、设计原理及框图 ................................................................................................. 1 1、设计原理 ...................................................................................................... 1 2、结构框图 ...................................................................................................... 1 三、 设计过程 .......................................................................................................... 2 1、模块化设计 ................................................................................................... 2 、顶层文件生成 ............................................................................................... 3 2 四、仿真调试过程..................................................................................................... 4 1、各模块时序仿真图 ........................................................................................ 4 2、仿真过程中遇到的问题 ................................................................................. 5 五、设计体会及收获 ................................................................................................. 5 一、设计要求 1、稳定的显示时、分、秒。 2、当电路发生走时误差时,要求电路有校时功能。 3、电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 二、设计原理及框图 1、设计原理 系统框图由六个模块组成,分别为:秒、分、时计数模块,整点报时模块,LED动态显示扫描模块,调时控制模块组成。其工作原理是:基准脉冲输入信号同时加到秒、分、时、分隔符的脉冲输入端,采用并行计数的方式,秒的进位接到分的使能端上,秒的使能借到分隔符的使能上,分得接到时的使能端上,完成秒、分、时和分隔符的循环计数。整点报时是根据分的A、B输出同时为0时,整点报时模块输出高电平控制报时。LED显示扫描模块根据输入的扫描信号CKDSP轮流选通秒、分、时、分隔符的8位八段数码管,LED显示译码器完成计数器输出的BCD的译码。 2、结构框图 显示模块 秒计时模块 整点报时 模 块 数字时钟 分计时模块 调时控制 时计时模块 模 块 1 三、 设计过程 1、模块化设计 (1)秒计时模块 秒计时模块由一个60位计数器为主体构成,其输入 输出端口组成为: Clk:计时时钟信号 Reset:异步清零信号 Setmin:分钟设置信号 Enmin:使能输出信号 Daout[6:0]:BCD码输出 (2)分计时模块 其输入输分计时模块由一个60位计数器为主体构成,出端口组成为: Clk、clk1:计时时钟信号 Reset:异步清零信号 Sethour:小时设置信号 Enmin:使能输出信号 Daout[6:0]:BCD码输出 (3)时计时模块 时计时模块由24位计数器为主体构成,其输入输出端 口组成为: Clk:计时时钟信号 Reset:异步清零信号 Daout[6:0]:BCD码输出 (4)显示模块 系统时间输出由六个七段数码管显示。 显示的数据是各计时模块给出的 BCD码。 2 (5)调时控制模块 该模块主要用于调节时、分显示,用于“对表”。 (6)整点报时模块 在时钟整点的时候产生扬声器驱动信号。由时钟计 时模块中分钟的进行信号进行控制。当contr_en 为 高电平时,将输入信号clk 送到输出端speak 用于 驱动扬声器,同时在clk 的控制下,输出端lamp[2..0]进行循环移位。输出控制模块有扬声器控制器子模 块组成。 2、顶层文件生成 前面已经完成了电子时钟电路的各个组成部分的设计,下面把这些组成部分组装起来,形成 完整的总体设计。该电子时钟的命名为clock,其外部端口如右图所示。 各个输入/ 输出端口的作用如下: (1) clk 为外部时钟信号,其频率为1Hz,reset 为异步清零信号. (2) sethour 和setmin分别为调时调分脉冲输入信号 ,当en_set为高电平时, 每来一个sethour 脉冲或setmin脉冲,时、分输出将分别加1; (3) second[6...0]为秒的个位和十位BCD 码输出,min[6„0]为分钟的个位和十位BCD 码输出,hour[6...0]为小时的个位和十位BCD 码输出,它们最终中用来驱动七段数码管,lamp[2...0]为花样显示输出信号,speak 为整点报时扬声器驱动信号 3 四、仿真调试过程 1、各模块时序仿真图 (1)秒计数器仿真图 (2)分计数器仿真图 (3)时计数器仿真图 (4)整点报时仿真图 4 (5)调时调分仿真图 (6)LED显示译码仿真图 2、仿真过程中遇到的问题 (1)由于距离学习EDA技术课程的时间较长,遗忘了部分课程内容,对仿真软件不熟悉造成多次仿真失败。就此问题我认真复习了所学的EDA相关课程,按照课本上介绍的步骤重新进行了仿真,问题得以解决。 (2)因为仿真过程中对各部分时序波形应采取什么样的激励波形不清楚,给仿真过程带来了较大的麻烦。通过查阅相关资料,阅读网上一些比较成熟的 论文 政研论文下载论文大学下载论文大学下载关于长拳的论文浙大论文封面下载 ,确定了仿真过程中的相关参数。 五、设计体会及收获 本次课程设计我做出的数字时钟能够正确的进行整点报时,显示时间,但是对于调时调分功能不能正确显示。经过努力,简易电子时钟的设计基本上算是完成了,在整个设计中,我最大的体会就是:对学过的知识遗忘太多。在本次的课程设计中,我发现了很多问题,同时做起来也很难不顺手,看着简单的电路,要动手把它设计出来实非易事,主要原因对相关应用软件的不熟悉,这就要求我们在以后的学习中,应该注意复习的重要性,对学过的知识要时常复习,加深记忆,更重要的是我们要学会把从书本上学到的知识和实际电路联系起来,这不论对我们以后的学习还是就业,都会起到很大的促进和帮助。我相信,通过这次的课程设计,在下一阶段的学习中我们会更加努力,力争把这门课学好学精。同时通过本次课程设计,巩固了我们以前学过的专业知识,通过这次的程序设计,使我们对数字系统结构也有了更进一步的了解与认识,同时对数据库软件,,,技术,,,,,语言等系列知识都有了一定的了解与认识。使用,,,技术开发页面的能力也有了提高,也使我们把理论与实践从正真意义上结合了起来,考验了我们的动手能力和查阅相关资料的能力,还有组织材料的能力。 通过此次实践,我们从中可以找出自己知识的不足与欠缺,以便我们在日后的学习中得以改进与提高。经过本次设计使我们对大学四年期间所学习到的知识得以进一步实践,这将对我们走出校园,走向社会,走向工作岗位奠定坚实的基础。 5 附 录 1、秒计数单元代码 LIBRARY ieee; LIBRARY ieee; use ieee.std_logic_1164.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_unsigned.all; ENTITY minute IS ENTITY second IS PORT( PORT( clk,clk1,reset,sethour:IN STD_LOGIC; clk,reset,setmin:IN STD_LOGIC; enhour:OUT STD_LOGIC; enmin:OUT STD_LOGIC; daout:out std_logic_vector(6 downto 0)); daout:out std_logic_vector(6 downto 0)); END entity minute; END entity second; ARCHITECTURE fun OF minute IS ARCHITECTURE fun OF second IS SIGNAL count:STD_LOGIC_VECTOR(6 SIGNAL count:STD_LOGIC_VECTOR(6 downto 0); downto 0); SIGNAL enhour_1,enhour_2:STD_LOGIC; BEGIN SIGNAL enmin_1,enmin_2:STD_LOGIC; daout<=count; BEGIN daout<=count; enhour_2<=(sethour and clk1); enhour<=(enhour_1 or enhour_2); enmin_2<=(setmin and clk); process(clk,reset,sethour) enmin<=(enmin_1 or enmin_2); process(clk,reset,setmin) begin begin if(reset='0')then if(reset='0') then count<="0000000"; count<="0000000"; elsif(clk'event and clk='1')then elsif(clk'event and clk='1')then if(count(3 downto 0)="1001")then if(count(3 downto 0)="1001")then if(count<16#60#)then if(count<16#60#)then if(count="1011001")then if(count="1011001")then enmin_1<='1';count<="0000000"; enhour_1<='1'; else count<="0000000"; count<=count+7; ELSE end if; count<=count+7; else end if; count<="0000000"; else end if; count<="0000000"; elsif(count<16#60#)then end if; count<=count+1; elsif(count<16#60#)then enmin_1<='0' after 100 ns; count<=count+1; else enhour_1<='0'after 100 ns; end if; else end if; count<="0000000"; end process; end if; end fun ; end if; 2、分计数单元代码 end process; 6 END fun; led<="1111110"when num="0000"else 3"0110000"when num="0001"else 、时计数单元代码 "1101101"when num="0010"else LIBRARY ieee; use ieee.std_logic_1164.all; "1111001"when num="0011"else use ieee.std_logic_unsigned.all; "0110011"when num="0100"else ENTITY hour IS "1011011"when num="0101"else PORT( "1011111"when num="0110"else clk,reset:IN STD_LOGIC; "1110000"when num="0111"else daout:out std_logic_vector(5 downto 0)); "1111111"when num="1000"else "1111011"when num="1001"else END entity hour; ARCHITECTURE fun OF hour IS "1110111"when num="1010"else SIGNAL count:STD_LOGIC_VECTOR(5 "0011111"when num="1011"else "1001110"when num="1100"else downto 0); "0111101"when num="1101"else BEGIN daout<=count; "1001111"when num="1110"else process(clk,reset) "1000111"when num="1111"; END fun; begin 5if(reset='0')then 、调时控制单元代码 count<="000000"; LIBRARY ieee; elsif(clk'event and clk='1')then use ieee.std_logic_1164.all; if (count(3 downto 0)="1001")then use ieee.std_logic_unsigned.all; if(count<=16#23#)then use ieee.std_logic_arith.all; count<=count+7; ENTITY seltime IS else PORT( count<="000000"; clk1,reset:IN STD_LOGIC; end if; sec,min:IN STD_LOGIC_VECTOR(6 downto elsif(count<16#23#)then 0); count<=count+1; hour:in std_logic_vector(5 downto 0); else daout:OUT STD_LOGIC_vector(3 downto 0); count<="000000"; dp:OUT std_LOGIC; end if; sel:out std_logic_vector(2 downto 0)); end if; END seltime; end process; ARCHITECTURE fun OF seltime IS END fun; SIGNAL count:STD_LOGIC_vector(2 4downto 0); 、显示单元代码 BEGIN LIBRARY ieee; use ieee.std_logic_1164.all; sel<=count; use ieee.std_logic_unsigned.all; process(clk1,reset) ENTITY deled IS begin PORT(num:IN std_logic_vector(3 downto 0); if(reset='0')then led:OUT std_logic_vector(6 downto 0)); count<="000"; elsif(clk1'event and clk1='1')then end deled; if(count>="101")then ARCHITECTURE fun OF deled IS BEGIN count<="000"; 7 else count1<="00"; count<=count+1; else end if; count1<=count1+1; end if; end if; case count is end if; when"000"=>daout<=sec(3 downto end if; 0);dp<='0'; end process speaker; when"001"=>daout(3)<='0';daout(2 downto lamper:process(clk) 0)<=sec(6 downto 4);dp<='0'; begin when"010"=>daout<=min(3 downto if(rising_edge(clk))then 0);dp<='1'; if(coun<="10")then when"011"=>daout(3)<='0';daout(2 downto if(coun="00")then 0)<=min(6 downto 4);dp<='0'; lamp<="001"; when"100"=>daout<=hour(3 downto elsif(coun="01")then 0);dp<='1'; lamp<="010"; when others=>daout(3 downto 2)<="00"; elsif(coun="10")then daout(1 downto 0)<=hour(5 downto lamp<="100"; 4);dp<='0'; end if; end case; coun<=coun+1; end process; else end fun; coun<="00"; 6、整点报时单元代码 end if; LIBRARY ieee; end if; use ieee.std_logic_1164.all; end process lamper; use ieee.std_logic_unsigned.all; END fun; ENTITY alert IS 7、顶层代码 PORT( library ieee; clk:IN STD_LOGIC; use ieee.std_logic_1164.all; dain:IN STD_LOGIC_VECTOR (6 entity clock_top is DOWNTO 0); port(clk,reset,setmin,sethour,clkdsp:in speak:OUT STD_LOGIC; std_logic; lamp:OUT STD_LOGIC_VECTOR (2 speaker:out std_logic; DOWNTO 0)); lamp:out std_logic_vector(2 downto 0); END alert; sel:out std_logic_vector(2 downto 0); ARCHITECTURE fun OF alert IS a,b,c,d,e,f,g,dpout:out std_logic); signal coun:std_logic_vector (1 downto 0); end clock_top; signal count1:std_logic_vector (1 downto 0); architecture a of clock_top is BEGIN COMPONENT second speaker:process(clk) PORT( begin clk,reset,setmin:IN STD_LOGIC; speak<=count1(1); daout:out std_logic_vector(6 downto 0); if(clk'event and clk='1')then enmin:OUT STD_LOGIC); if(dain="0000000")then END COMPONENT; if(count1>="10")then COMPONENT minute 8 PORT( signal seltime_daout:std_logic_vector(3 clk,clk1,reset,sethour:IN STD_LOGIC; downto 0); enhour:OUT STD_LOGIC; signal ledout:std_logic_vector(6 downto 0); daout:out std_logic_vector(6 downto 0)); begin END COMPONENT; a<=ledout(6);b<=ledout(5);c<=ledout(4);d<=lCOMPONENT hour edout(3); PORT( e<=ledout(2);f<=ledout(1);g<=ledout(0); clk,reset:IN STD_LOGIC; u1: second port map(reset=>reset, daout:out std_logic_vector(5 downto 0)); clk=>clk, END COMPONENT; setmin=>setmin, COMPONENT alert enmin=>enmin_re, PORT( daout=>second_daout); clk:IN STD_LOGIC; u2:minute port map(clk=>enmin_re, dain:in std_logic_vector(6 downto 0); clk1=>clk, lamp:out std_logic_vector(2 downto 0); reset=>reset, speak:OUT STD_LOGIC); sethour=>sethour, END COMPONENT; enhour=>enhour_re, COMPONENT seltime daout=>minute_daout); PORT( u3:hour port map(clk=>enhour_re, clk1,reset:IN STD_LOGIC; reset=>reset, sec,min:in std_logic_vector(6 downto 0); daout=>hour_daout); hour:in std_logic_vector(5 downto 0); u4:alert port map(clk=>clk, dp:out std_logic; dain=>minute_daout, daout:out std_logic_vector(3 downto 0); speak=>speaker, sel:OUT STD_LOGIC_vector(2 downto 0)); lamp=>lamp); END COMPONENT; u5:seltime port map(clk1=>clkdsp, COMPONENT deled reset=>reset, PORT( sec=>second_daout, num:IN STD_LOGIC_vector(3 downto 0); min=>minute_daout, led:out std_logic_vector(6 downto 0)); hour=>hour_daout, END COMPONENT; daout=>seltime_daout, signal enmin_re,enhour_re:std_logic; dp=>dpout, signal sel=>sel); second_daout,minute_daout:std_logic_vector(u6:deled port map(num =>seltime_daout, 6 downto 0); led=>ledout); signal hour_daout:std_logic_vector(5 downto end a; 0); 9 大学本科生毕业设计(论文)撰写规范 本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位资格认定的重要依据。 毕业论文 毕业论文答辩ppt模板下载毕业论文ppt模板下载毕业论文ppt下载关于药学专业毕业论文临床本科毕业论文下载 撰写是本科生培养过程中的基本训练环节之一,应符合国家及各专业部门制定的有关 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 ,符合汉语语法规范。指导教师应加强指导,严格把关。 1、论文结构及要求 论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。 1.1 题目 论文题目应恰当、准确地反映论文的主要研究内容。不应超过25字,原则上不得使用标点符号,不设副标题。 1.2 摘要与关键词 1.2.1 摘要 本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。 摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文字要精炼,具有一定的独立性和完整性,摘要一般应在300字左右。摘要中不宜使用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。 1.2.2 关键词 关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列3,5个,按词条的外延层次从大到小排列,应在摘要中出现。 1.3 目录 目录应独立成页,包括论文中全部章、节的标题及页码。 14 1.4 论文正文 论文正文包括绪论、论文主体及结论等部分。 1.4.1 绪论 绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献综述以及论文所要研究的主要内容。 文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。 毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。 1.4.2 论文主体 论文主体是论文的主要部分,要求结构合理,层次清楚,重点突出,文字简练、通顺。论文主体的内容要求参照《大学本科生毕业设计(论文)的规定》第五章。 论文主体各章后应有一节“本章小结”。 1.4.3 结论 结论作为单独一章排列,但不加章号。 结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点,以简练的文字对论文的主要工作进行评价,一般为400,1 000字。 1.5 参考文献 参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度。论文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论文,参考文献数量一般应在15篇以上,其中学术期刊类文献不少于8篇,外文文献不少于3篇;对文科类、管理类论文,参考文献数量一般为10,20篇,其中学术期刊类文献不少于8篇,外文文献不少于3篇。 在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺序排列。 产品说明书、各类标准、各种报纸上刊登的文章及未公开发表的研究报告(著名的内部报告如PB、AD报告及著名大公司的企业技术报告等除外)不宜做为参考文献引用。但对于工程设计类论文,各种标准、规范和手册可作为参考文献。 引用网上参考文献时,应注明该文献的准确网页地址,网上参考文献不包含在上述规定的文献数量之内。 15 1.6 致谢 对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明了、实事求是,避免俗套。 1.7 附录 如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图纸、数据表格等有些不宜放在正文中,但有参考价值的内容可编入论文的附录中。 16 2、论文书写规定 2.1 论文正文字数 理工类 论文正文字数不少于20 000字。 000,20 000字。其中汉语言文学专业不少于7 000字。 文管类 论文正文字数12 外语类 论文正文字数8 000,10 000个外文单词。 艺术类 论文正文字数3 000,5 000字。 2.2 论文书写 本科生毕业论文用B5纸计算机排版、编辑与双面打印输出。 论文版面设置为:毕业论文B5纸、纵向、为横排、不分栏,上下页边距分别为2.5cm和2cm,左右页边距分别为2.4cm和2cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格。论文正文满页为29行,每行33个字,字号为小四号宋体,每页版面字数为957个,行间距为固定值20磅。 页眉。页眉应居中置于页面上部。单数页眉的文字为“章及标题”;双数页眉的文字为“大学本科生毕业设计(论文)”。页眉的文字用五号宋体,页眉文字下面为2条横线(两条 )。页眉、页脚边距分别为1.8cm和1.7cm。 横线的长度与版芯尺寸相同,线粗0.5磅 页码。页码用小五号字,居中标于页面底部。摘要、目录等文前部分的页码用罗马数字单独编排,正文以后的页码用阿拉伯数字编排。 2.3 摘要 中文摘要一般为300字左右,外文摘要应与中文摘要内容相同,在语法、用词和书写上应正确无误,摘要页勿需写出论文题目。中、外文摘要应各占一页,编排装订时放置正文前,并且中文在前,外文在后。 2.4 目录 目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节题目; 参考文献;致谢;附录。 正文章、节题目(理工类要求编写到第3级标题,即?.?.?。文科、管理类可视论文需要进行,编写到2,3级标题。) 2.5 论文正文 2.5.1 章节及各章标题 论文正文分章、节撰写,每章应另起一页。 各章标题要突出重点、简明扼要。字数一般在15字以内,不得使用标点符号。标题中尽量不用英文缩写词,对必须采用者,应使用本行业的通用缩写词。 17 2.5.2 层次 层次以少为宜,根据实际需要选择。层次代号格式见表1和表2。 表1 理工类论文层次代号及说明 层次名称 示 例 说 明 章序及章名居中排,章章 第1章 ??„„? 序用阿拉伯数字 题序顶格书写,与标题1.1 ??„„? 节 间空1字,下面阐述内 条 容另起一段 1.1.1 ??„„? 题序顶格书写,与标题 1.1.1.1 ??„„„? ??„„???间空1字,下面阐述内款 容在标题后空1字接排 ?„„ 题序空2字书写,以下内 (1)??„? ??„??„?????容接排,有标题者,阐述项 内容在标题后空1字 ?„„ ? ? 版心左边线 版心右边线 表2 文管类论文层次代号及说明 章 一、????? 居中书写 节 (一)???? 空2字书写 条 1.???? 空2字书写 ????????????????????? ????????? 款 (1)???? 空2字书写 ????????????????????? ??????????? 项 ?????? 空2字书写 ? ? 版心左边线 版心右边线 各层次题序及标题不得置于页面的最后一行(孤行)。 2.6 参考文献 正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体。所引文献编号用 [1]阿拉伯数字置于方括号“[ ]”中,如“二次铣削”。当提及的参考文献为文中直接说明时,其序号应该与正文排齐,如“由文献[8,10,14]可知”。 经济、管理类论文引用文献,若引用的是原话,要加引号,一般写在段中;若引的不是原文只是原意,文前只需用冒号或逗号,而不用引号。在参考文献之外,若有注释的话,建议采用夹注,即紧接文句,用圆括号标明。 不得将引用文献标示置于各级标题处。 参考文献书写格式应符合GB7714,1987《文后参考文献著录规则》。常用参考文献编写项目和顺序应按文中引用先后次序规定如下: 18 著作图书文献 序号作者(书名(版次)(出版地:出版者,出版年:引用部分起止页 ??? 第一版应省略 翻译 阿房宫赋翻译下载德汉翻译pdf阿房宫赋翻译下载阿房宫赋翻译下载翻译理论.doc 图书文献 序号作者(书名(版次)(译者(出版地: 出版者,出版年:引用部分起止页 ??? 第一版应省略 学术刊物文献 序号作者(文章名(学术刊物名(年,卷(期):引用部分起止页 ??? 学术会议文献 序号作者(文章名(编者名(会议名称,会议地址,年份(出版地,出版者, ??? 出版年:引用部分起止页 学位论文类参考文献 序号研究生名(学位论文题目(出版地(学校(或研究单位)及学位论文级别(答 ??? 辩年份:引用部分起止页 西文文献中第一个词和每个实词的第一个字母大写,余者小写;俄文文献名第一个词和专有名词的第一个字母大写,余者小写;日文文献中的汉字须用日文汉字,不得用中文汉字、简化汉字代替。文献中的外文字母一律用正体。 作者为多人时,一般只列出前3名作者,不同作者姓名间用逗号相隔。外文姓名按国际惯例,将作者名的缩写置前,作者姓置后。 学术会议若出版论文集者,可在会议名称后加上“论文集”字样。未出版论文集者省去“出版者”、“出版年”两项。会议地址与出版地相同者省略“出版地”。会议年份与出版年相同者省略“出版年”。 学术刊物文献无卷号的可略去此项,直接写“年,(期)”。 参考文献序号顶格书写,不加括号与标点,其后空一格写作者名。序号应按文献在论文中的被引用顺序编排。换行时与作者名第一个字对齐。若同一文献中有多处被引用,则要写出相应引用页码,各起止页码间空一格,排列按引用顺序,不按页码顺序。 参考文献书写格式示例见附录1。 2.7 名词术语 科技名词术语及设备、元件的名称,应采用国家标准或部颁标准中规定的术语或名称。标准中未规定的术语要采用行业通用术语或名称。全文名词术语必须统一。一些特殊名词或新名词应在适当位置加以说明或注解。 文管类专业技术术语应为常见、常用的名词。 采用英语缩写词时,除本行业广泛应用的通用缩写词外,文中第一次出现的缩写词应该用括号注明英文全文。 2.8 计量单位 物理量计量单位及符号一律采用《中华人民共和国法定计量单位》(GB3100,3102—1993,见附录2),不得使用非法定计量单位及符号。计量单位符号,除用人名命名的单位 19 第一个字母用大写之外,一律用小写字母。 非物理单位(如件、台、人、元、次等)可以采用汉字与单位符号混写的方式,如“万t?km”,“t/(人?a)”等。 文稿叙述中不定数字之后允许用中文计量单位符号,如“几千克至1 000kg”。 表达时刻时应采用中文计量单位,如“上午8点45分”,不能写成“8h45min”。 计量单位符号一律用正体。 2.9 外文字母的正、斜体用法 按照GB3100,3102,1986及GB7159,1987的规定使用,即物理量符号、物理常量、变量符号用斜体,计量单位等符号均用正体。 2.10 数字 按国家语言文字工作委员会等七单位1987年发布的《关于出版物上数字用法的规定》,除习惯用中文数字表示的以外,一般均采用阿拉伯数字(参照附录3)。 2.11 公式 原则上居中书写。若公式前有文字(如“解”、“假定”等),文字顶格书写,公式仍居中写。公式末不加标点。 公式序号按章编排,如第1章第一个公式序号为“(1-1)”,附录2中的第一个公式为(?-1)等。 文中引用公式时,一般用“见式(1-1)”或“由公式(1-1)”。 公式中用斜线表示“除”的关系时,若分母部分为乘积应采用括号,以免含糊不清,如a/(bcosx)。通常“乘”的关系在前,如acosx/b而不写(a/b)cosx。 2.12 插表 表格不加左、右边线。 表序一般按章编排,如第1章第一个插表的序号为“表1,1”等。表序与表名之间空一格,表名中不允许使用标点符号,表名后不加标点。表序与表名置于表上,居中排写(见附录4)。 表头设计应简单明了,尽量不用斜线。表头中可采用化学符号或物理量符号。 全表如用同一单位,将单位符号移到表头右上角,加圆括号(见附录4中的例2)。 表中数据应正确无误,书写清楚。数字空缺的格内加“—”字线(占2个数字宽度)。表内文字和数字上、下或左、右相同时,不允许用“″”、“同上”之类的写法,可采用通栏处理方式(见附录4中的例2)。 表内文字说明不加标点。 文管类的插表在表下一般根据需要可增列补充材料、注解、附记、资料来源、某些指标的计算方法等。 表内文字说明,起行空一格,转行顶格,句末不加标点。表题用五号字,表内文字及表的说明文字均用五号字,中文用宋体。 20 表格容量较大,必要时表格也可分为两段或多段(这只能发生在转页时),转页分段后的每一续表的表头都应重新排字,重排表头的续表上方右侧应注明(续表×)字样。 2.13 插图 插图应与文字紧密配合,文图相符,技术内容正确。 2.13.1 制图标准 插图应符合技术制图及相应专业制图的规定。 机械工程图:采用第一角投影法,应符合附录5所列有关标准的规定。 电气图:图形符号、文字符号等应符合附录6所列有关标准的规定。 流程图:符合国家标准。 对无规定符号的图形应采用该行业的常用画法。 2.13.2 图题及图中说明 每个图均应有图题(由图号和图名组成)。图号按章编排,如第1章第一图的图号为“图1-1”等。图题置于图下。有图注或其他说明时应置于图题之上。图名在图号之后空一格排写。引用图应说明出处,在图题右上角加引用文献编号。图中若有分图时,分图号用a)、b)等置于分图之下。 图中各部分说明应采用中文(引用的外文图除外)或数字项号,各项文字说明置于图题之上(有分图题者,置于分图题之上)。 图题用五号字,图内文字及说明均用五号字,中文用宋体。 2.13.3 插图编排 插图与其图题为一个整体,不得拆开排写于两页。插图应编排在正文提及之后,插图处的该页空白不够排写该图整体时,则可将其后文字部分提前排写,将图移到次页最前面。 2.13.4 坐标单位 有数字标注的坐标图,除无单位者(如标示值)之外,必须注明坐标单位。 2.13.5 论文中照片图及插图 毕业论文中的照片图均应是原版照片粘贴(或数码像机图片),照片可为黑白或彩色,应主题突出、层次分明、清晰整洁、反差适中。照片采用光面相纸,不宜用布纹相纸。对金相显微组织照片必须注明放大倍数。 毕业论文中的插图不得采用复印件。对于复杂的引用图,可采用数字化仪表输入计算机打印出来的图稿。 2.14 附录 理工类论文附录的序号采用“附录1”、“附录2”等,附录顺序为开题报告、文献综述、外文文献的中文译文及外文复印件等。 文管类论文附录序号相应采用“附录一”、“附录二”等。 21 3、论文排版要求 3.1 纸张要求及页面设置 名称 格式要求 纸张 B5(182×257),幅面白色 页面 上下页边距2.5cm和2cm,左右页边距2.4 cm和2cm,页眉、页脚分别为1.8cm 设置 和1.7cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格 页眉 宋体字五号居中 页码 宋体字小五号居中 3.2 封面(详见模版、B5纸单面打印) 名称 格式要求 本科毕业设计/论文 宋体字小二号,行距固定值25磅 ,间距段前、段后分别为0.5行,要求字体居中 黑体字二号,行距固定值25磅,间距段前、段后分别为0.5行。论文题目中文论文题目 字数不得超过25字,要求字体居中 填写姓名 宋体字小三号, 行距固定值20磅 , 间距段前、段后分别为0.5行,要求字体居中 楷体字小二号,行距固定值20磅, 间距段前、段后分别为0.5行。每字间空大学 1格,要求字体居中 宋体字小三号,行距固定值20磅, 间距段前、段后分别为0.5行。数字用阿年 月 拉伯数字,日期为论文提交日期,要求字体居中 3.3 封面2(详见模版、B5纸单面打印) 名称 格式要求 宋体字小二号,行距固定值25磅,间距段前、段后分别为0.5行,要求字本科毕业设计/论文 体居中 黑体字二号,行距固定值25磅,间距段前、段后分别为0.5行。论文题目论文题目 中文字数不得超过25字,要求字体居中 学院(系) 宋体字四号,行距固定值20磅,间距段前、段后分别为0.5行,字体左对齐 专业 同上 学生姓名 同上 学号 同上 指导教师 同上 答辩日期 同上 3.4 本科毕业设计/论文 任务书(单面打印) 本科毕业设计/论文 B5纸,单面打印,不编页码 3.5 中、英文摘要 名称 中文摘要 英文摘要 摘要:黑体字小二居中,行距固定值20Abstract: Times New Roman体小二号居中,标题 磅,间距段前、段后分别为1行 行距固定值20磅,间距段前、段后分别为1行 22 段落 宋体字小四号,行距固定值20磅 Times New Roman体小四号,行距固定值20磅 文字 关键词 同上,“关键词”三字加粗 同上,“Key Words”两词加粗 页码 罗马大写数字,Times New Roman体小五号字 罗马大写数字,Times New Roman体小五号字 3.6 目录 名称 示例 格式要求 标题 目录 黑体字小二号居中,行距固定值20磅,间距段前、段后分别为1行 各章目录 格式范例 黑体字小四号, 行距固定值20磅,两端对齐,页码右对齐 宋体字小四号,行距固定值20磅,两端对齐,页码右对齐,左缩进2字节标题目录 格式范例 符 宋体字小四号,行距固定值20磅,两端对齐,页码右对齐,左缩进3字条标题目录 格式范例 符(条标题目录文科左缩进2字符) 页码 格式范例 罗马大写数字,Times New Roman 体小五号字 3.7 正文 示例 名 称 格式要求 理工论文 文科论文 黑体字小二号居中,行距固定值20磅,各章标题 第1章 ×× 一、××× 间距段前、段后分别为1行,理工类章 序号与章名间空一个汉字 黑体字小三号,行距固定值20磅,间距节标题 1.1 ×××× (一)××× 段前、段后分别为0.5行,理工类题序 与题名间空一个汉字 黑体字四号,行距固定值20磅,间距段条标题 1.1.1 ××× 1、××× 前、段后分别为0.5行,理工类题序与 题名间空一个汉字 黑体字小四号,行距固定值20磅,理工款标题 1.1.1.1 ×× (1)××× 类题序与题名间空一个汉字 宋体字小四号,段落首行左缩进2个汉××××××正文段 ×××××字。行距固定值20磅(段落中有数学表××××××××落文字 ××××× 达式时,可根据表达需要设置该段的行×× 距) 3.8 其它 名 称 格式要求 标题要求同各章标题,正文部分:宋体字小四号,行距固定值20磅,段落首行左缩进结论 2个汉字 标题要求同各章标题,正文部分:宋体字小四号(英文用Times New Roman体小四号),参考文献 行距固定值20磅 标题要求同各章标题,正文部分宋体字小四号,行距固定值20磅,(英文用Times New 致谢 Roman体小四号) 标题要求同各章标题,正文部分:宋体字小四号(英文用Times New Roman体小四号),附录 段落首行左缩进2个汉字。行距固定值20磅,(段落中有数学表达式时,可根据表达 需要设置该段的行距),装订时附录内容加封面 大学毕业设计/论文评审意见表 B5纸,单面打印,不编页码 大学毕业设计/论文答辩委员会评语表 B5纸,单面打印,不编页码 注:1.毕业设计/论文模版用Word 2003文档排版,详见教务处网页-“文档下载”-“实践教学用表”中 毕业设计/论文模版,下载的模版文档会变形需要进行整理。 2.未注明事宜,请查看撰写规范有关要求。 4、论文打印输出要求 23 4.1 输出样式 计算机双面打印输出。 4.2 字体字号 论文正文字体为宋体,小四号字。 第一层次(章)题序和标题用小二号黑体字。题序和标题之间空1个字。 第二层次(节)题序和标题用小三号黑体字。题序和标题之间空1个字。 第三层次(条)题序和标题用四号黑体字。 第四层次(款)题序和标题用小四号黑体字。 第五层次(项)题序和标题用小四号宋体字。 页码用小五号字,在底线下居中。 论文的中文和外文摘要属二次文献置于目录前,并编入目录,按第一层次(章)的编辑要求处理。参考文献、致谢、附录同样按第一层次(章)的编辑要求处理,另起新页,与正文一起顺序用阿拉伯数字编页。 4.3 摘要及关键词 中文摘要题头用小二号黑体字居中排写,然后隔行书写摘要的文字部分,摘要正文用小四号宋体,行距20磅。 英文论文摘要另起一页,其内容及关键词应与中文摘要一致。 英文选用字体:Times New Roman,字号与中文摘要相同。 摘要的中、外文示例见附录7和附录8。 摘要正文后下空一行打印“关键词”三字,关键词题头用小四号宋体字字体加粗顶格书写,然后空一格书写有关关键词,各关键词之间加标点符号“;”最后一词之后不加标点符号。 4.4 目录 目录题头用小二号黑体字居中排写。目录中各章题序及标题用小四号黑体,其余用小四号宋体,行距为20磅。目录的打印实例见附录9和附录10。 4.5 正文层次 正文层次的编排理工类论文应采用表3的格式,文管类可采用表4的格式。 表3 理工类论文层次代号打印说明 层次名称 示 例 说 明 小二号黑体 章 第1章 ??„„? 1.1 ??„„? 小三号黑体 节 24 1.1.1 ??„„? 条 四号黑体 1.1.1.1 ??„„? ??„„???款 小四号黑体 ?„„ (1)??„? ??„??„?????项 正文用小四号宋体 ?„„ 表4 文管类论文层次代号打印说明 章 一、????? 小二号黑体居中排 节 (一)???? 空2字,小三号黑体 条 1. ???? 空2字,四号黑体 ?????????????????? ???????? 款 (1)????? 空2字,小四号黑体 ????????????????? ????????? 项 ????? 空2字,小四号宋体 正文的示例参见附录11和附录12。 4.6 公式 公式序号的右侧符号靠右边线顶边排写。 公式较长时最好在等号“,”处转行,如难实现,则可在,、,、×、?运算符号处转行,转行时运算符号仅书写于转行式前,不重复书写。 公式中第一次出现的物理量应给予注释,注释的转行应与破折号“——”后第一个字对齐,格式见下例: 式中M——试样断裂前的最大扭矩(Nm); ???f ? θ——试样断裂时的单位长度上的相对扭转角, f dφ d l 25 θ= ( rad/mm )。 f 公式中应注意分数线的长短(主、副分线严格区分),长分线与等号对齐,如 2,(n,n)13x, n,n12 n,n12 附录1 参考文献示例 参考文献 1 崔忠圻. 金属学及热处理. 北京:机械工业出版社,1989,36,45 2 张安峰,邢建东,陆文华. 高铬铸铁的氧化行为. 金属学报,1993,29(6):263, 268 3 周敬跃,李伟文. 利用基元叶片理论单级跨音速轴流压气机特性.见:中国工程热物理学术讨论会.北京:工程热物理研究所,1985,181,196 4 王连东.镦粗新理论及新工艺的研究.(硕士学位论文).齐齐哈尔:东北重型机械学院,1992,126,134 5 John K T, George S A. Alloy and micro structural design . London: Academic press Inc. LTD.1993,12(5):236,238 6 Sisler H H. Electronic structure properties and the periodic low, selected topics in modern chemistry. Reinhold publishing Corporation, 1963,10(2):78,89 26 7 Caian Qiu. An analysis of the Cr-Fe-Mo-C system and modification of thermodynamic parameters. ISIJ International,1992,32(10):1117~ 1127 8 Lee B J, Lee D N. A thermodynamic evaluation of the Fe-Cr-V-Ti system journal of phaseEquilibria,1992,13(4): 349~364 „„„„ 附录2 中华人民共和国法定计量单位 中华人民共和国法定计量单位 (GB33100,3102,1993) 我国的法定计量单位(以下简称法定单位)包括: 1.国际单位制的基本单位:见表2-1; 2.国际单位制的辅助单位:见表2-2; 3.国际单位制中具有专门名称的导出单位:见表2-3; 4.国家选定的非国际单位制单位:见表2-4; 5.由以上单位构成的组合形式的单位; 6.由词头和以上单位构成的十进倍数和分数单位(词头见表2-5)。 法定单位的定义、使用方法等,由国家计量局另行规定。 表2-1 国际单位制的基本单位 量的名称 单位名称 单位符号 27 长度 米 m 质量 千克(公斤) kg 时间 秒 s 电流 安[培] A 热力学温度 开[尔文] K 物质的量 摩[尔] mol 发光强度 坎[德拉] cd 表2-2 国际单位制的辅助单位 量的名称 单位名称 单位符号 平面角 弧度 rad 立体角 球面度 sr 表2-3 国际单位制中具有专门名称的导出单位 量的名称 单位名称 单位符号 其它表示实例 28 -1 频率 赫[兹] Hz s 2 力;重力 牛[顿] N kg?m/s 2 压力,压强;应力 帕[斯卡] Pa N/m 能量;功;热量 焦[尔] J N?m 功率;辐射通量 瓦[特] W J/s 电荷量 库[仑] C A?s 电位;电压;电动势 伏[特] V W/A 电容 法[拉] F C/V 电阻 欧[姆] Ω V/A 电导 西[门子] S A/V 磁通量 韦[伯] Wb V?s 2 磁通量密度;磁感应强度 特[斯拉] T Wb/m 电感 亨[利] H Wb/A 摄氏温度 摄氏度 ? 光通量 流[明] lm cd?sr 2 光照度 勒[克斯] lx lm/m -1 放射性活度 贝可[勒尔] Bq s 吸收剂量 戈[瑞] Gy J/kg 剂量当量 希[沃特] Sv J/kg 表2-4 国家选定的非国际单位制单位 量的名称 单位名称 单位符号 换算关系和说明 分 min 1min=60s 时 间 [小]时 h 1h=60min=3 600s 天(日) d 1d=24h=86 400s 29 [角]秒 (″) 1″=(π/648 000)rad (π为圆周率) 平面角 [角]分 (′) 1′,60″,(π/10 800)rad 度 (?) 1?=60′=(π/180) rad -1 旋转速度 转每分 r/min 1r/min=(1/60)s 长 度 海里 n mile 1n mile=1 852m(只用于航程) 1 kn =1 n mile/h 速 度 节 =(1 852/3 600)m/s kn (只用于航程) 3 吨 t 1t=10kg 质 量 -27原子质量单位 u lu?1.660 565 5×10kg 3-33 体 积 升 L,(l) 1L=1 dm=10m -19 能 电子伏 eV 1eV?1.602 189 2×10J 级 差 分贝 dB 线密度 特[克斯] tex 1 tex=lg/km 表2-5 用于构成十进倍数和分数单位的词头 所表示的因数 词头名称 词头符号 18 10 艾[可萨] E 15 10 拍[它] P 12 10 太[拉] T 9 10 吉[咖] G 6 10 兆 M 30 3 10 千 k 2 10 百 h 1 10 十 da -1 10 分 d -2 10 厘 c -3 10 毫 m -6 10 微 μ -9 10 纳[诺] n -12 10 皮[可] p -15 10 飞[母托] f -18 10 阿[托] a 注:1.周、月、年(年的符号为a)为一般常用时间单位。 2.[ ]内的字,是在不致混淆的情况下,可以省略的字。 3.( )内的字为前者的同义语。 4.角度单位度、分、秒的符号不处于数字后时,用括号。 5.升的符号中,小写字母l为备用符号。 .r为“转”的符号。 6 7.公里为千米的俗称,符号为km。 4812 8.10称为万,10称为亿,10称为万亿,这类数词的使用不受词头名称的 影响,但不应与词头混淆。 说明:法定计量单位的使用,可查阅1984年国家计量局公布的《中华人民共和 法定计量单位使用方法》。 附录3 数字用法示例 数字用法示例 按《关于出版物上数字用法的规定》(1995年国家语言文字工作委员会等7个单位公布),除习惯用中文数字表示的以外,一般数字均用阿拉伯数字。 (1)公历的世纪、年代、年、月、日和时刻一律用阿拉伯数字,如20世纪,80年代,4时3刻等。年号要用四位数,如1989年,不应用89年。 (2)记数与计量(含正负整数、分数、小数、百分比、分数等)一律用阿拉伯数字,如3/4,4.5,,10个月,500多种等。 (3)一个数值的书写形式要照顾到上下文。不是出现在一组表示科学计量和具有统计意义数字中的一位数可以用汉字,如一个人,六条意见。星期几一律用汉字,如星期六。邻近两个数字并列连用,表示概数,应该用汉字数字,数字间不用顿号隔开,如三五天,七八十种,四十五六岁,一千七八百元等。 31 (4)数字作为词素构成定型的词、词组、惯用语、缩略语等应当使用汉字。如二倍体、三叶虫,第三世界,“七五”规划,相差十万八千里等。 (5)5位以上的数字,尾数零多的,可改写为以万、亿为单位的数。一般情况下不得以十、百、千、十万、百万、千万、十亿、百亿、千亿作为单位。如345 000 000公里可改写为3.45亿公里或34 500万公里,但不能写为3亿4 500万公里或3亿4千5百万公里。 (6)数字的书写不必每格一个数码,一般每两数码占一格,数字间分节不用分位号“,”,凡4位或4位以上的数都从个位起每3位数空半个数码(1/4汉字)。“3 000 000”,不写成“3,000,000”,小数点后的数从小数点起向右按每三位一组分节。一个用阿拉伯数字书写的多位数不能从数字中间转行。 (7)数量的增加或减少要注意下列用词的概念:1) 增加为(或增加到)过去的二倍,即过去为一,现在为二;2) 增加(或增加了)二倍,即过去为一,现在为三;3) 超额80,,即定额100,现在为180;4) 降低到80,,即过去为100,现在为80;5) 降低(或降低了)80,,即原来为100,现在为20;6) 为原数的1/4,即原数为4,现在为1,或原数为1,现在为0.25。 应特别注意在表达数字减小时,不宜用倍数,而应采用分数。如减少为原来的1/2,1/3等。 附录4 插表示例 例1 表1-1 合金钢的化学成分与力学性能 化学成分(,) 力学性能 材 料 ?抗拉强度 屈服强度 弹性模量 伸长率 布氏硬度名 其他 C Mn Cr σ σE δ b s 称 222/, /HBS /N/mm /N/mm /N/mm „ „ ?×××××。 例2 表2-44 零件的最小壁厚α (mm) 纸、皮、塑料薄膜、 冲α?0.8t但α?0.5t min胶木板、软铝 裁 表2-44图 材t?0.5的硅钢板、弹簧钢、 α?1.2t 料 锡磷青铜 32 附录5 有关的技术制图国家标准 GB/T17450 – 1998:《技术制图图线》 GB/T17451 – 1998:《视图》 GB/T17452 – 1999:《剖视图和断面图》 GB/T16675 – 1996:《技术制图简化表示方法》 附录6 有关电气图中图形符号、文字符号的国家标准 GB/T4728.1,13 – 1998.1999.2000:《电气图用图形符号》 GB5465.1,2 - 1985:《电气设备用图形符号》 GB7159 - 1987:《电气技术的文字符号制订通则》 GB6988 - 1997:《电气制图》 33 附录7 中文摘要示例 摘要 交会对接技术是发展空间在轨基础设施的关键技术。本文对基于计算机视觉的空间飞行器自动对接系统进行了详细的研究。 首先,讨论了许多常规姿态表示方法,并指出姿态表示的复杂性。然后给出李代数法姿态表示和可能的定义。在各种姿态表示下,给出了空间飞行器姿态运动学和动力学方程。为后面建立对接系统数学模型打下了基础„„ 关键词 交会对接;计算机视觉;非线性最小二乘;非线性观测器;非线性控制 器 附录8 外文摘要示例 Abstract Rendezvous and docking are two of the key techniques to develop an inorbit space infrastructure. In this thesis, an automatic spacecraft docking system based on 34 computer vision is studied in detail. First, a number of conventional methods for attitude representation are discussed and their complexity in dealing with the problem of attitude representation are„ Keywords Rendezvous and docking;Computer vision;Nonlinear least spuares; Nonlinear observer;Nonlinear controller 35 附录9 目录示例(理工类) 目 录 摘要„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ ? Abstract„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ ? 第1章 绪论„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 1 1.1 课题背景„„„„„„„„„„„„„„„„„„„„„„„„„„„ 1 1.2 交会对接技术发展概况„„„„„„„„„„„„„„„„„„„ 2 1.2.1 美国空间交会对接发展概况„„„„„„„„„„„„„ 3 1.2.2 俄罗斯空间交会对接发展概况„„„„„„„„„„„„ 3 1.2.3 俄罗斯、美国联合飞行„„„„„„„„„„„„„„„„ 4 1.2.4 欧空局空间交会对接发展概况„„„„„„„„„„„„ 5 1.2.5 我国的空间交会对接发展概况„„„„„„„„„„„„ 5 1.3 相关工作„„„„„„„„„„„„„„„„„„„„„„„„„„„ 5 1.3.1 姿态表示和空间飞行器运动方程„„„„„„„„„„„ 5 1.3.2 对接制导„„„„„„„„„„„„„„„„„„„„„„„„ 6 1.4 本文主要研究内容„„„„„„„„„„„„„„„„„„„„„ 8 第2章 空间飞行器姿态表示和运动方程„„„„„„„„„„„„„„ 10 2.1 引言„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 10 2.2 标准正交旋转矩阵姿态表示„„„„„„„„„„„„„„„„ 10 „„„„„„„„„„„„„„„„„„ „„„„„„„„„„„„„„„„„„ 结论 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 89 参考文献 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 90 致谢 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 91 附录1 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 92 附录2 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 93 36 附录10 目录示例(文管类) 目 录 摘要„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ ? Abstract„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ ? 绪论„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 1 一、当前国际货币体系的状况及成因„„„„„„„„„„„„„„„„„„ 1 (一)国际货币体系的状况„„„„„„„„„„„„„„„„„„„„„„ 1 (二)国际货币体系的成因„„„„„„„„„„„„„„„„„„„„„„ 2 1.经济上的原因 „„„„„„„„„„„„„„„„„„„„„„„„„„ 7 2.政治上的原因 „„„„„„„„„„„„„„„„„„„„„„„„„„ 8 二、国际货币新体系构想„„„„„„„„„„„„„„„„„„„„„„„„ 9 (一)理论界关于国际货币体系的几种设想„„„„„„„„„„„„ 12 (二)以美元、日元、德国马克为中心的国际货币新体系构想„„„ 13 三、国际货币体系变革对我国的影响及对策„„„„„„„„„„„„„„ 26 (一)国际货币体系变革对我国经济的影响„„„„„„„„„„„„ 26 (二)我国的对策„„„„„„„„„„„„„„„„„„„„„„„„„„„ 27 „„„„„„„„„„„„„„„„„„ „„„„„„„„„„„„„„„„„„ 结论„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 79 参考文献„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 80 致谢„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 81 附录一 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 82 附录二 „„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„„ 83 附录11 正文示例(理工类) 第1章 绪论 37 1.1 课题背景 空间科学技术的发展是异常迅速的,每个时期都可以找到一个具有典型代表性的技术成就。通常可以作这样一个概括:50年代为卫星上天时代;60年代为载人飞船(阿波罗)时代;70年代为星际控测时代;80年代为航天飞机时代;90年代为空间站时代。永久性载人空间站是本世纪最复杂、最巨大的航天工程, [1]也可以说是当今空间技术进展的一个重要里程碑。 „„„„„„„„„„„„„„ 1.2 交会对接技术发展概况 自从六十年代美、俄罗斯分别在空间轨道上实现了两个飞行器交会对接,至今二十多年来(即美、俄罗斯)已经在轨道上至少成功地进行了160多次交会对接,其中俄罗斯占120多次。俄罗斯在交会对接技术方面居世界领先地位[9]。下面概述美国、俄罗斯和欧空局和我国空间交会对接技术发展状况。 1.2.1 美国空间交会对接发展概况 1957年俄罗斯发射了第一颗人造地球卫星[10],迫使美国迅速作出反应在太空与之竞争。 „„„„„„„„„„„„ 38 附录12 正文示例(文管类) 国际货币新体系构想 自从本世纪七十年代布鲁顿木森体系互解以来,国际货币体系进入动荡不安的状态。特别是进入九十年代以来,陆续出现墨西哥金融危机、美元对日元和德国马克的大幅度贬值的现象,引起了金融界的恐慌,„„ 近年来,随着我国经济的持续发展和改革开放的不断深化扩大,国际经济的变化也越来越直接地影响我国经济发展。„„是摆在我国经济理论界面前的一个重要课题。 „„„„„„„„„„ „„„„„„„„„„ 一、当前国际货币体系的状况及原因 (一)国际货币体系的现状 国际货币体系又称国际货币 制度 关于办公室下班关闭电源制度矿山事故隐患举报和奖励制度制度下载人事管理制度doc盘点制度下载 ,它是指为„„ (二)国际货币体系的成因 造成以上状况的原因既有经济上的,也有政治上的。 1(经济上的原因 ×××××××××××××××××××××××××××××××××××××××××××× 2(政治上的原因 ×××××××××××××××××××××××××××××××××××××××××××× (1)(黑体、小四号)××××× ?×××××××××××× 39
本文档为【基于vhdl语言数字时钟设计说明书】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_633808
暂无简介~
格式:doc
大小:226KB
软件:Word
页数:0
分类:
上传时间:2018-08-15
浏览量:8