首页 汇编语言期末试题

汇编语言期末试题

举报
开通vip

汇编语言期末试题汇编语言试卷第PAGE6页(共NUMPAGES6页)汇编语言试卷第PAGE7页(共NUMPAGES7页)汇编语言试卷第PAGE1页(共NUMPAGES1页)西北民族大学计算机科学与信息工程学院期末考试汇编语言试卷(A卷)专业:课程代码:学号:姓名:总分题号一二三四五六七核分人题分20201214101212复查人得分得分评卷人一、单项选择题(在每小题的四个备选答案中,选出一个正确的答案,并将其代码...

汇编语言期末试题
汇编语言试卷第PAGE6页(共NUMPAGES6页)汇编语言试卷第PAGE7页(共NUMPAGES7页)汇编语言试卷第PAGE1页(共NUMPAGES1页)西北民族大学计算机科学与信息工程学院期末考试汇编语言试卷(A卷)专业:课程代码:学号:姓名:总分题号一二三四五六七核分人题分20201214101212复查人得分得分评卷人一、单项选择题(在每小题的四个备选 答案 八年级地理上册填图题岩土工程勘察试题省略号的作用及举例应急救援安全知识车间5s试题及答案 中,选出一个正确的答案,并将其代码填入题干后的括号内。每小题1分,共20分)计算机中为便于存储及计算机的物理实现,采用(A)A.二进制数B.十进制数C.八进制数D.十六进制数为了区别不同数制的数,通常用字母(A)表示二进制数A.BB.EC.FD.S在86系列的CPU中,访问I/O设备采用(B)方式A.统一地址B.独立地址C.混合地址D.直接地址表示串操作方向的是(D)A.AFB.IFC.CFD.DF存储器分段时表示偏移地址是18位二进制代码,则一个段最多有(B)A.128KBB.256KBC.512KBD.1MB保存当前栈顶偏移地址的寄存器是(A)A.SPB.BPC.IPD.SS栈顶物理地址为24600H,执行完PUSHDX指令后,栈顶的物理地址为(D)A.24602HB.24601HC.245FFHD.245FEH伪指令在程序(B)阶段被处理A.编写B.汇编C.连接D.运行指令MOVAX,[BP]采用的寻址方式是(B)A.寄存器寻址B.间接寻址C.变址寻址D.直接寻址一个完整、独立的汇编语言源程序可以没有(C)伪指令A.段定义B.存储模式定义C.程序开始D.程序结束取指令操作的默认地址是(A)A.CS:IPB.DS:SIC.ES:DID.SS:SP在非串操作指令中用BX或DI作为基址时默认段地址是(B)A.CS,不可用段超越B.DS,可用段超越C.ES,不可用段超越D.SS,可用段超越MOVAX,[DI]取得内存操作数由(D)执行A.ALUB.EUC.地址加法器D.BIUJMPNEARPTR[BX]使用(A)段寄存器A.CS,DSB.DS,ESC.ES,SSD.DS,SS从功能上看,不引起非顺序执行的指令有(D)A.RETB.CALLC.INTD.SCASB响铃符的ASCII编码为(D)A.0DHB.0AHC.20HD.07H操作系统1号功能调用输入的字符存放在(B)寄存器中A.AHB.ALC.DHD.DL不能作为汇编语言中标号的有(C)A._A_B.@6C.AAAD.?DB?可能改变标志寄存器的值的指令有(D)A.LODSBB.LODSWC.POPD.POPF在small内存模式中,如果参数采用栈方式传递,在被调用过程中取得倒数第2个调用参数可使用(D)A.[BP]B.[BP+2]C.[BP+4]D.[BP+6]得分评卷人二、填空题(每空1分,共20分)1.2D1272.CPU(或中央处理器)内存I/O子系统(或输入/输出子系统)3.注释4.3017A5.ADDAL,[BX][SI]6.SI+1000H7.AX8.659.汇编结束伪指令10.汇编阶段11.等号(或=)12.操作系统13.1024(或400H)14.64K(或65536,10000H,216)15.0FFFF0H(或FFFF:0000)16.41H、42H17.从右到左二进制数101101转换为十六制数是2D,二进制数1111111转换为十进制数是127。从微处理器的角度来看,计算机结构可分为CPU、内存和I/O子系统三个主要部分。汇编语言源程序中的每个语句由4部分组成,分别是:名字、操作码助记符、操作数和注释。在实模式下,地址3017H:000AH存储单元的物理地址是3017A。把地址为BX+SI的存储单元中的数据与AL中的数值相加,结果存入AL的指令为ADDAL,[BX][SI]。指令“MOVDL,1000H[SI]”中源操作数的地址是SI+1000H。执行“MULBL”之后,积存放在AX中。若二进制值01000001表示无符号数,其值为__65__。汇编语言程序的最后一条指令是___汇编结束伪指令___。MOVAX,3*4中的乘法是在__汇编阶段__计算的。可以修改其值的定义符号常量的伪指令是__等号__伪指令。MOVAX,@DATA中AX的值是由___操作系统___在程序运行之前传过来的。.STACK伪指令默认分配__1024__字节的栈空间。在8086中,一个逻辑段最长为__64K_字节。8086CPU加电后执行的第一条指令的物理地址为__0FFFF0H__。DB‘AB’定义了2个字节,在内存中的16进制代码按顺序为__41H、42H_。调用C语言函数时参数的进栈顺序是___从右到左__。得分评卷人三、给出能实现指定功能的程序片段。(每小题1分,共12分)将寄存器AX置0XORAX,AX将寄存器AL内容送到DL中MOVDL,AL将寄存器BX内容送到内存单元[7C01H]中MOV[7C01H],BX将寄存器DX内容加上BX的内容,结果送到DX中ADDDX,BX将寄存器CX内容加上立即数0A000H,结果送到CX中ADDCX,0A000H将寄存器AX内容减去0B800H,结果送到AX中SUBAX,OB800H将寄存器AL的D6,D5,D1位清零ADDAL,01100010B将寄存器DX:AX的内容除以内存DS:[4920H]的内容DIVWORDPTR[4920H]将寄存器AL的内容乘BL的内容,结果送到BX寄存器MULBLMOVBX,AX若AX为零则转向短标号SLABELORAX,AXJZSLABEL将AX的一个字输出到端口3D9HMOVDX,3D9HOUTDX,AX操作系统1号功能调用(输入一个字符)MOVAH,1INT21H得分评卷人四、判断题(认为对的,在题后的括号内打“√”,认为错的打“×”。每小题1分,共14分)POPCS(0)MOVAH,BH(1)MOVDS,BX(1)MOVAX,[SP+2](0)PUSHAH(0)MOVCX,AX(1)LEABX,SI(0)MULAX,12H(0)MOVSP,BP(1)JMP[BX](0)ADDAL,10000B(1)ANDDS,0FH(0)REPEMOVSB(1)STD(1)得分评卷人五、简答题(每小题5分,共10分)简述PC最低1M主存空间的使用情况;最低1M主存空间从低地址到高地址可分为4个区段:基本RAM区(0-9FFFFH):共640K,由操作系统管理;保留的显示RAM区(A0000H-BFFFFH):共128K,由显示部件使用;I/O扩展ROM区(C0000H-DFFFFH):共128K,由扩展ROM使用;系统ROM区(E0000H-FFFFFH):共128K,由BIOS使用。简述用缺省方式和TurboC及TurboAssember实现时,在C语言程序中调用汇编语言子程序时程序中需要注意哪些方面?得分评卷人六、程序填空题(每空1分,共12分)设X、Y为WORD型变量。理解以下程序,填写空白。计算Y=|X|   ①   TESTAX,   ②      ③   NEGAXPOS:MOV④,   ⑤   调用子程序SR1计算两个无符号字节参数84和96的乘积,将计算结果存入变量Y中。SR1PROCNEARPUSHBPMOVBP,SPMOVAL,   81①   MOVBL,  91 ②   MULBL   ③   ④SR1ENDPSTART:MOVAX,   ⑤   PUSHAXMOVAX,94PUSHAX   ⑥   MOV[Y],   ⑦   得分评卷人七、程序设计题(每题6分,共12分)编写一个函数过程,将高2位在AX中、低2位在BX中用ASCII码表示的4位十进制数转换为16位二进制数存放在AX中;设程序开头几行为:.modellarge.stack512.dataweightDQ?fmtstrDB‘weight=’其中变量weight表示一个64位的双精度浮点型变量,可调用外部无参数远过程getValue计算出变量weight的值,需要调用C语言的格式输出函数printf输出weight变量的值。写出该程序的后续部分,使之程序一个完整的程序。
本文档为【汇编语言期末试题】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
丹丹陪你去流浪
暂无简介~
格式:doc
大小:72KB
软件:Word
页数:7
分类:
上传时间:2021-11-04
浏览量:1