首页 4位数码管实验报告

4位数码管实验报告

举报
开通vip

4位数码管实验报告竭诚为您提供优质文档/双击可除4位数码管实验报告篇一:数码管实验报告篇一:实验八数码管led实验报告苏州大学实验报告院、系年级专业姓名学号课程名称成绩指导教师同组实验者实验日期实验名称:数码管led实验一.实验目的理解8段数码管的基本原理,理解8段数码管的显示和编程方法,理解4连排共阴极8段数码管lg5641ah与mcu的接线图。二.实验内容理解8段数码管原理,运行与理解各子程序,编制一个4连排8段数码管程序,mcu的排8段数码管显示mcu复位后的开始到现在的运行时间。由于只有四个数码管,所以只显示mcu运行到目前...

4位数码管实验报告
竭诚为您提供优质文档/双击可除4位数码管实验报告篇一:数码管实验报告篇一:实验八数码管led实验报告苏州大学实验报告院、系 年级 六年级体育公开课教案九年级家长会课件PPT下载六年级家长会PPT课件一年级上册汉语拼音练习题六年级上册道德与法治课件 专业姓名学号课程名称成绩指导教师同组实验者实验日期实验名称:数码管led实验一.实验目的理解8段数码管的基本原理,理解8段数码管的显示和编程方法,理解4连排共阴极8段数码管lg5641ah与mcu的接线图。二.实验内容理解8段数码管原理,运行与理解各子程序,编制一个4连排8段数码管程序,mcu的排8段数码管显示mcu复位后的开始到现在的运行时间。由于只有四个数码管,所以只显示mcu运行到目前为止的分钟和秒,当计时达到一个小时,就重新从00:00开始计时。另外,也可以通过pc方的串口通信程序,指定计时的开始值。三.实验过程(一)原理图图8-2数码管外形dpabcefgdp图8-1数码管(二)接线图图8-3mcu与4连排8段数码管的连接第1页(三)基本原理8段数码管一般由8个发光二极管(llight-emittingdiode,led)组成,每一个位段就是一个发光二极管。一个8段数码管分别由a、b、c、d、e、f、g位段,外加上一个小数点的位段h(或记为dp)组成。根据公共端所接电平的高低,可分为共阳极和共阴极两种。有时数码管不需要小数点,只有7个位段,称7段数码管。共阴极8段数码管的信号端高电平有效,只要在各个位段上加上相应的信号即可使相应的位段发光,比如:要使a段发光,则在发光。四.编程(一) 流程 快递问题件怎么处理流程河南自建厂房流程下载关于规范招聘需求审批流程制作流程表下载邮件下载流程设计 图图8-4数码管led显示流程图(及其中断子程序)(二)所用寄存器名称及其各个位程序中没有使用与led显示相关的控制和状态寄存器,仅仅使用了通用i/o口a口和b口。(三)主要代码段1第2页第3页2. c第4页第5页篇二:数码管实验报告单片机实验报告一、实验名称数码管动态扫描显示01234567(实验五)二、实验目的(1)掌握数码管显示数字的原理。(2)通过不同的编程实现灵活运用数码管。三、实验原理四、相关原理图五、实验内容数码管显示12345678c程序:#include#includeunsignedchardatadis_digit;unsignedcharcodedis_code[11]={0x28,0x7e,0xa2,0x62,0x74,0x61,0x21,0x7a,0x20,0x60,0xff};//0,1,2,3,4,5,6,7,8,9,关显示,数码管码 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf unsignedchardatadis_buf[8];//显示缓冲区unsignedchardatadis_index;charcodesst516[3]_at_0x003b;voidmain(){p0=0xff;p2=0xff;tmod=0x01;th0=0xfc;tl0=0x17;ie=0x82;dis_buf[0]=dis_code[0x1];dis_buf[1]=dis_code[0x2];dis_buf[2]=dis_code[0x3];dis_buf[3]=dis_code[0x4];dis_buf[4]=dis_code[0x5];dis_buf[5]=dis_code[0x6];dis_buf[6]=dis_code[0x7];dis_buf[7]=dis_code[0x8];dis_digit=0xfe;dis_index=0;tr0=1;while(1);}voidtimer0()interrupt1//定时器0中断服务程序,用于数码管的动态扫描//dis_index---显示索引,用于标识当前显示的数码管和缓冲区的偏移量//dis_digit---位选通值,传送到p2口用于选通当前数码管的数值,如等于0xfe时,//选通p2.0口数码管//dis_buf---显于缓冲区基地址{th0=0xfc;tl0=0x17;p2=0xff;//先关闭所有数码管p0=dis_buf[dis_index];//显示代码传送到p0口p2=dis_digit;//dis_digit=_crol_(dis_digit,1);//位选通值左移,下次中断时选通下一位数码管dis_index;//dis_index//8个数码管全部扫描完一遍之后,再回到第一个开始下一次扫描}汇编程序:code_segsegmentcodedata_segsegmentdatarsegdata_segdis_digit:ds1dis_index:ds1dis_buf:ds8stack:ds20;===========================================================csegat00000h;reset向量ljmpmaincsegat0000bh;定时器0中断向量ljmptimer0;===========================================================rsegcode_segorg0080hmain:movsp,#(stack-1);初始化堆栈指针movp0,#0ffh;初始化i/o口movp2,#0ffhmovtmod,#01h;初始化timer0movth0,#0fchmovtl0,#017hmovie,#082hmovdptr,#dis_code;设定显示初值mova,#1movca,@adptrmovdis_buf,amova,#2movca,@adptrmovdis_buf01h,amova,#3movca,@adptrmovdis_buf02h,amova,#4movca,@adptrmovdis_buf03h,amova,#5movca,@adptrmovdis_buf04h,amova,#6movca,@adptrmovdis_buf05h,amova,#7movca,@adptrmovdis_buf06h,amova,#8movca,@adptrmovdis_buf07h,amovdis_digit,#0feh;初始从第一个数码管开始扫描movdis_index,asetbtr0;启动定时器0,开始动态扫描显示main_lp:;主程序循环,增加其它代码sjmpmain_lp;===========================================================using0timer0:;定时器0中断服程序,用于数码管的动态扫描;dis_index---显示索引,用于标识当前显示的数码管和缓冲区的偏移量;dis_digit---位选通值,传送到p2口用于选通当前数码管的数值,如等于0xfe时,;选通p2.0口数码管;dis_buf---显于缓冲区基地址pushaccpushpswpushar0movth0,#0fchmovtl0,#017hmovp2,#0ffh;先关闭所有数码管mova,#dis_buf;获得显示缓冲区基地址adda,dis_index;获得偏移量movr0,a;r0=基地址偏移量mova,@r0;获得显示代码movp0,a;显示代码传送到p0口movp2,dis_digit;mova,dis_digit;位选通值左移,下次中断时选通下一位数码管rla篇三:数码管动态显示实验报告实验四数码管动态显示实验一一、实验要求1.在proteus软件中画好51单片机最小核心电路,包括复位电路和晶振电路2.在电路中增加四个7段数码管(共阳/共阴自选),将p1口作数据输出口与7段数码管数据引脚相连,p2.0~p2.3引脚输出选控制信号3.在keil软件中编写程序,采用动态显示法,实现数码管分别显示数字1,2,3,4二、实验目的1.2.3.4.巩固proteus软件和keil软件的使用方法学习端口输入输出的高级应用掌握7段数码管的连接方式和动态显示法掌握查表程序和延时等子程序的设计三.实验说明本实验是将单片机的p1口做为输出口,将四个数码管的七段引脚分别接到p1.0至p1.7。由于电路中采用共阳极的数码管,所以当p1端口相应的引脚为0时,对应的数码管段点亮。程序中预设了数字0-9的段码。由于是让四个数码管显示不同的数值,所以要用扫描的方式来实现。因此定义了scan函数,接到单片机的p2.0至p2.3在实验中,预设的数字段码表存放在数组tab中,由于段码表是固定的,因此存储类型可设为code。在proteus软件中按照要求画出电路,再利用keil软件按需要实现的功能编写c程序,生成hex文件,把hex文件导到proteus软件中进行仿真。为了能够更好的验证实验要求,在编写程序时需要延时0.5s,能让人眼更好的分辨;89c51的一个机器周期包含12个时钟脉冲,而我们采用的是12mhz晶振,每一个时钟脉冲的时间是1/12us,所以一个机器周期为1us。在keil程序中,子函数的实现是用voiddelay_ms(intx),其中x为1时是代表1ms。四、硬件原理图及程序设计(一)硬件原理图设计电路中p1.0到p1.7为数码管七段端口的控制口,排阻rp1阻值为220ω,p2.0到p2.3为数码管的扫描信号。at89c51单片机的9脚(rst)为复位引脚,当rst为高电平的时间达到2个机器周期时系统就会被复位;31引脚(ea)为存取外部存储器使能引脚,当ea为高电平是使用单片机内部存储器,当ea为低电平时单片机则使用外部存储器。18、19引脚是接晶振脚。而接地和电源端在软件中已经接好,所以不用在引线。如下图所示:(二)流程图与程序设计(三)程序设源代码#include//定义8051寄存器头文件#defineseg7pp1//定义扫描信号的位置#definescanpp2//定义数码管的位置charcodetab[10]={0xc0,0xf9,0xa4,0xb0,0x99,//数字0~4的码值0x92,0x83,0xf8,0x80,0x98};//数字5~9的码值chardisp[4]={1,2,3,4};//定义要显示的数值voiddelay_ms(intx);//声明延时函数charscan[4]={0xfe,0xfd,0xfb,0xf7};//定义扫描chari,j;//定义变量i,jmain()//主函数的开始{while(1)//无限循环{for(i=0;i {j=disp[3-i];//取出显示的数值scanp=scan[i];//扫描的顺序seg7p=tab[j];//将tab的数值赋给数码管delay_ms(4);//延时4ms}}}voiddelay_ms(intx){inti,j;for(i=0;i for(j=0;j }篇二:7段数码管实验报告eDA实验报告实验名称:7段数码管控制接口学院:信息工程学院专业:11级电子信息工程2班年级、班:20XX级2班学生姓名:指导教师:日7段数码管控制接口一、实验要求。用设计一个共阴7段数码管控制接口,要求:在时钟信号的控制下,使6位数码管动态刷新显示0—F,其中位选信号为8-3编码器编码输出。二、实验内容。在实验仪器中,8位7段数码显示的驱动电路已经做好,并且其位选信(seL[7..0])为一3-8译码器的输出,所以我们在设计7段数码管控制接口时,其位选信号输出必须经8-3编码。显示控制器的引脚图如图40-1:图1图中cp为时钟输入端,segouT[7..0]为段驱动输出;seLouT[2..0]为位选信号输出;numouT[3..0]为当前显示的数据输出。图40-27段显示控制器仿真波形图从图40-2可以看出,6位数码管是轮流点亮的,我们以numouT=1这段波形为参考:当seLouT为000时,点亮第一位显示器,显示的数字为1,同时,numouT输出的数据也为“0001”。同理,当seLouT为001时,点亮第二位显示器,显示数字为1,直到6位显示器全都显示完毕,等待进入下一个数字的显示。同时,还有一个问题不可忽视,位扫描信号的频率至少需要多少以上,才能使显示器不闪烁?简单的说,只要扫描频率超过眼睛的视觉暂留频率24hZ以上就可以达到点亮单个显示,却能享有6个同时显示的视觉效果,而且显示也不闪烁。当我们输入频率为5mhZ时,我们通过加法计数器来产生一个约300hZ的信号,并且由它来产生位选信号,请参考下面程序段:pRocess(cp)--计数器计数beginIFcpeventAnDcp=1thenQ enDIF;enDpRocess;num s --扫描信号seL "001"whens=1eLse"010"whens=2eLse"011"whens=3eLse"100"whens=4eLse"101"whens=5eLse"111111";由计数器Q引出到s信号,若时钟信号为5mhZ时,Q13得到的信号频率约为300hZ,再将它分给扫描信号,最后每个显示器扫描信号频率为:300/6=50hZ>24hZ,所以不会有闪烁情形产生。实验程序设计如下:moduleledcycle(outData,VgA,cLK);inputcLK;output[3:0]VgA;assignVgA[3:0]=4b0010;outputreg[15:0]outData;reg[7:0]temp=8h00;parameterfont0=16h803F;parameterfont1=16h8006;parameterfont2=16h805b;parameterfont3=16h804F;parameterfont4=16h8066;parameterfont5=16h806D;parameterfont6=16h807D;parameterfont7=16h8007;parameterfont8=16h807F;parameterfont9=16h806F;parameterfontA=16h8077;parameterfontb=16h807c;parameterfontc=16h8039;parameterfontD=16h805e;parameterfonte=16h8079;parameterfontF=16h8071;always@(posedgecLK)beginif(temp>16)begintemp=1;outData=font0;endelsebegincase(temp)endmodule0:outData=font0;1:outData=font1;2:outData=font2;3:outData=font3;4:outData=font4;5:outData=font5;6:outData=font6;7:outData=font7;8:outData=font8;9:outData=font9;10:outData=fontA;11:outData=fontb;12:outData=fontc;13:outData=fontD;14:outData=fonte;15:outData=fontF;default;endcasetemp=temp1;endend三、实验连线和引脚分配。实验连线:Io_cLK连接Io3图3引脚分配图篇三:数码管及按键实验报告模板(1)数码管及流水灯实验报告成员:20XX年11月一、实验要求:1.实验1:在开发板上调试利用按键控制数码管从1累加到F变化(s2加、s3减)(不同分组按键利用Io口不同);2.实验2:利用开发板进行秒表设计(显示分、秒,分辨率0.1s,如0.58.5)(参考p189程序);二、硬件原理图1.硬件原理图A到F秒表2.原理图 分析 定性数据统计分析pdf销售业绩分析模板建筑结构震害分析销售进度分析表京东商城竞争战略分析 :实验一原理LeD数码管是由4个发光二极管中的7个长条形发光二极管(俗称7笔段),按a、b、c、d、e、f、g顺序组成“8”字形,另一个点形的发光二极管dp放在右下方,用来显示小数点,。只要控制7笔段按一定的要求亮与灭,就能显示出十六进制0~F。实验二原理以共阳极八段数码管为例,当控制某段发光二极管的信号为低电平时,对应的发光二极管点亮,当需要显示某字符时,就将该字符对应的所有二极管点亮;共阴极二极管则相反,控制信号为高电平时点亮。电平信号按照dp,g,e?a的顺序组合形成的数据字称为该字符对应的段码三、软件设计系统1.软件设计思想与流程图软件流程图如下( 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 流程图画法)??:实验一、A到F实验二、秒表
本文档为【4位数码管实验报告】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_633423
暂无简介~
格式:doc
大小:24KB
软件:Word
页数:13
分类:
上传时间:2022-07-20
浏览量:6