首页 432676$manbuweixiao$基于FPGA的振幅键控调制器与解调器的设计与实现

432676$manbuweixiao$基于FPGA的振幅键控调制器与解调器的设计与实现

举报
开通vip

432676$manbuweixiao$基于FPGA的振幅键控调制器与解调器的设计与实现432676$manbuweixiao$基于FPGA的振幅键控调制器与解调器的设计与实现 辽宁工业大学毕业设计 基于FPGA 的2ASK(振幅键控)调制与解调器的设计 [摘 要]: 1934年美国学者李佛西提出脉冲编码调制(PCM)的概念,从此之后通 信数字化的时代应该说已经开始了,但是数字通信的高速发展却是20世纪70年代 以后才开始的。随着时代的发展,用户不再满足于听到声音,而且还要看到图像; 通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的 传输媒介电缆、微波中继和卫星通信等将更...

432676$manbuweixiao$基于FPGA的振幅键控调制器与解调器的设计与实现
432676$manbuweixiao$基于FPGA的振幅键控调制器与解调器的 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 与实现 辽宁工业大学毕业设计 基于FPGA 的2ASK(振幅键控)调制与解调器的设计 [摘 要]: 1934年美国学者李佛西提出脉冲编码调制(PCM)的概念,从此之后通 信数字化的时代应该说已经开始了,但是数字通信的高速发展却是20世纪70年代 以后才开始的。随着时代的发展,用户不再满足于听到声音,而且还要看到图像; 通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的 传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。在数字传输系统中, 数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢复原数字信 号对载波的控制分为振幅调制即振幅键控(ASK),频率调制即频率键控(FSK)和相 位调制即相位键控(PSK)。现场可编程门阵列(FPGA)在通信领域得到了广泛的应用, 利用FPGA性能优越、使用方便的特点,可以简化振幅调制解调电路的设计,而且易 于反复编写和修改程序。文章介绍了 运用VHDL 语言进行基于FPGA 的振幅键控调 制电路和解调电路设计的实现 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 , 给出了程序设计和仿真结果, 完成了二进制基 带数字信号的调制和解调, 得到了相应的调制信号和解调信号。 [关键词]: FPGA 2ASK 调制解调 VHDL [Abstract]Communication digital era had began since American researcher Reeves put forward the concept of pulse code modulation (PCM) in 1934 and gained a rapid development after the 1970s. With the economic development, sound can't meet the demand of consumers and they also want to see the images. What's more, communication terminal doesn't only include the single telephone set but also the data terminal such as electro-graph and computer. Current transmission medium such as cable, microwave repeater and satellite communication will prefer to use digital transmission. In digital transmission system, the digital signal are used to modulate the high frequency carrier wave to the frequency signal, which transfers through the channel, are renewed at the receiver. Three ways to modulate the carrier wave are as follows: Amplitude Shift Keying(ASK), Frequency-Shift Keying(FSK) and Phase Shift Keying(PSK).Field-Programmable Gate Array(FPGA) is applied universally in the communication field. With the superior performance and utilization convenience of FPGA, the design of circuit in the Amplitude modulation and demodulation can be simplified and it's easy for us to compile and modify the programme. This thesis introduces the main realization method of designing Amplitude Shift Keying modulation and demodulation circuit based on FPGA in VHDL ,illustrates the programme design and simulation result, implement the modulation and demodulation of binary baseband digital signal and finally finds out the corresponding modulation signal and demodulation signal. [Key words] FPGA Amplitude shift keying Modem VHDL 摘要太罗嗦,抓住重点就行。英文一般为Times New Roman格式 目录 1、 前言…………………………………………………………………„…...……4 1.1、课题研究背景…………………………………………………„……….…4 1.2、课题目的与意义………………………………………………„……….…4 2、通信系统中数字调制解调技术………………………………….....……………5 2.1、数字调制技术……………………….………………… …………………5 2.2、调制方式………………………….………………………………....………5 2.2.1、ASK幅移键控…………….………………………………….......……6 2.2.2、FSK频移键控……………………..……………………………....……6 2.2.3、PSK相移键控……………..……………………………………....……7 2.2.4、QAM正交振幅调制……………………………………………....…...…8 2.3、2ASK、2FSK、2PSK、2DPSK解调技术…………………………….…....…10 2.3.1、2ASK信号的解调……………………………………………..………10 2.3.2、2FSK信号的解调………………………………………………......…11 2.3.3、2PSK及2DPSK信号的解调…………………………..…….....……12 2.4、功率谱及带宽…………………………………………………...….......….13 2.4.1、2ASK的功率谱及带宽…………………………………...……......…13 2.4.2、2FSK的功率谱及带宽………………………………...………......…14 2.4.3、2PSK的功率谱及带宽…………………………………...……......…15 3、2ASK的调制和解调方案设计………………………………………….….....….16 3.1、2ASK的调制方案……………..…………………………………....…...…17 3.2、2ASK的解调方案…………………………………………………......……17 3.2.1、2ASK系统的抗噪声性能……………...……………………......…...18 4、FPGA介绍与电路原理图、程序设计...…...…...…...…...…...…...…........…21 4.2、2ASK调制的建模及FPGA的实现…...……...……...……......…......…29 4.2.1、2ASK调制建模…...……...……..……...……...……...……...........29 4.2.2、2ASK的调制程序…...……...……...……...……...…….......…...…30 4.3、2ASK解调的建模及FPGA的实现...…...…...…...…...…...…...…......….31 4.3.1、2ASK解调建模...…...…...…...…...…...…...…...…...….....…...…31 4.3.2、2ASK的解调程序...…...…...…...…...…...…...…...…...…...….....32 5、仿真...…...…...…...…...…...…...…...…...…...…...…...…...…...…...........32 5.1、关于QuartusII软件... ... ... ... ... ... ... ... ... ... ... ... ... ...... ... .... .33 5.2、关于VHDL... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ..34 5.3、2ASK调制原理图、调制仿真图... ... ... ... ... ... ... ... ... ... ... ... ...... .36 5.4、2ASK解调原理图、解调仿真图... ... ... ... ... ... ... ... ... ... ... ... ........37 6、结论... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... ... .... 38 7、致谢…... …... …... …... …... …... …... …... …... …... …... …......….... …39 8、参考文献…... …... …... …... …... …... …... …... …... …... …... ...…... …40 2 1、 前言 1(1研究背景 1934年美国学者李佛西提出脉冲编码调制(PCM)的概念,从此之后通信数字化的时代应该说已经开始了,但是数字通信的高速发展却是20世纪70年代以后才开始的。随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。数字信号的载波调制是信道编码的一部分,之所以在信源编码和传输通道之间插入信道编码是因为通道及相应的设备对所要传输的数字信号有一定的限制,未经处理的数字信号源不能适应这些限制。由于传输信道的频带资源总是有限的,因此在充分得利用现有资源的前提下,提高传输效率就是通信系统所追求的最重要指标之一。模拟通信很难控制传输 B)或残留边带调幅(VSB)可以节省近一半的传效率,最常见到的单边带调幅(SS 输频带。由于数字信号只有“0”和“1”两种状态,所以数字调制完全可以理解为像报务员用开关键控制载波的过程,因此数字信号的调制方式一般均为较简单的键控方式。现代通信系统的发展随着VHDL等设计语言的出现和ASIC的应用进入了一个新的阶段。由于大多数信号都是带通型的,所以必须先用数字基带信号对载波进行调节,形成数字调制信号再进行传输。因而,调制技术是实现现代通信的重要手段。本文在研究ASK系统的基础上,基于FPGA设计了它的调制解调仿真实现方案。首先确定了ASK系统的仿真方案。其次编写了方案所需的程序,调制及解调实现功能。结果表明用FPGA控制ASK系统的实现方法简单,误码率低。提高了数字通信系统的效率,降低了成本。 1.2 目的和意义 3 这个课题是基于FGPA设计并制作一个2ASK调制解调器,实现数字信号对载波的调制和解调。通过这个课题理解掌握课题涉及的相关内容,熟练使用相关开发工具软件Quartus II,熟悉数字信号载波调制解调的基本方式。 现代通信系统是一个十分复杂的工程系统,通信系统设计研究也是一项十分复杂的技术。由于技术的复杂性,在现代通信技术中,越来越重视采用计算机仿真技术来进行系统分析和设计。随着电子信息技术的发展,已经从仿真研究和设计辅助工具,发展成为今天的软件无线电技术,这就使通信系统的仿真研究具有更重要和更实用的意义。而其中数字信号的调制和解调已成为重中之重。 论文 政研论文下载论文大学下载论文大学下载关于长拳的论文浙大论文封面下载 内容安排:论文的第1章介绍了课题的背景、目的和意义。第2章介绍了通信系统的几种数字调制技术。第3章介绍了2ASK的调制和解调方案设计。第4章介绍了FPGA与电路原理图、程序设计。 第5章介绍了利用VHDL的仿真结果。第六章为结束语。第七章为参考文献。 2、 通信系统中的数字调制解调技术 2.1数字调制技术 常用的数字调制技术有2ASK(Amplitude Shift Keying,幅移键控)、4ASK、8ASK、2PSK(Phase Shift Keying,相移键控)、QPSK、8PSK、2FSK、4FSK等,频带利用率从1bit/s/Hz,3bit/s/Hz。更有将幅度与相位联合调制的QAM(Quadrature Amplitude Modulation,正交振幅调制)技术。此外,还有可采用减小相位跳变的MSK等特殊的调制技术,为某些专门应用环境提供了强大的工具。近年来,四维调制等高维调制技术的研究也得到了迅速发展,为进一步提高传输效率奠定了基础。总之,数字通信所能够达到的传输效率远远高于模拟通信,调制技术的种类也远远多于模拟通信,大大提高了用户根据实际应用需要选择系统配置的灵活性。 2.2调制方式 数字调制就是将数字符号变成适合于信道传输的波形。所用载波一般是余弦信号,调制信号为数字基带信号。利用基带信号去控制载波的某个参数,就完成了调制。调制的方法主要是通过改变余弦波的幅度、相位或频率来传送信息。其基本原理是把数据信号寄生在载波的上述三个参数中的一个上,即用数据信号来进行幅度调制、频率调制或相位调制。数字信号只有几个离散值(二进制时0、1。四进制时00、01、10、11等),因此调制后的载波参数也只有有限个值,类似于用数字信息控制开关,从几个具有不同参量的独立振荡源中选择参量,为此把数字信号的调制方式称为“键控”。数字调制分为调幅、调相和调频三类,分别对应“幅移键控”(ASK)、“相移键控”(PSK)和“频移键控”(FSK)三种数字调制方式。在“幅移键控”方式中,当“1”出现时接通振幅为A的载波,“0”出现时关断载波,这 4 相当于将原基带信号(脉冲列)频谱搬到了载波的两侧。如果用改变载波频率的方法来传送二进制符号,就是“频移键控”的方法,当“1”出现时是低频,“0”出现时是高频。这时其频谱可以看成码列对低频载波的开关键控加上码列的反码对高频载波的开关键控。如果用“0”和“1”来改变载波的相位,则称为“相移键控”。这时在比特周期的边缘出现相位的跳变,但在间隔中部保留了相位信息。接收端解调通常在其中心点附近进行。一般来说,PSK系统的性能要比开关键控FSK系统好,但必须使用同步检波。调制的基本原理是用数字信号对载波的不同参量进行调制,其基本公式如下: 载波S(t) = Acos(ωt+ψ) S(t)的参量包括:幅度A、频率ω、初相位ψ,调制就是要使A、ω或ψ随数字基带信号的变化而变化。其中ASK调制方式是用载波的两个不同振幅表示0和1;FSK调制方式是用载波的两个不同频率表示0和1;而PSK调制方式是用载波的起始相位的变化表示0 和1。 根据传输信号是二进制信号还是多进制信号和对载波的哪个参数进行调制,可以把数字频带传输分为: 二进制、多进制数字振幅键控(ASK) 二进制、多进制数字频移键控(FSK) 二进制、多进制数字相移键控(PSK) 二进制、多进制差分相移键控(DPSK) 除上面所述的二相位、二频率和二幅度系统外,还可以采用各种多相位、多振幅和多频率的方案。但ASK、PSK和FSK这三种数字调制方式仍是最主要的。 2.2.1 ASK幅移键控(Amplitude Shift Keying) “幅移键控”又称为“振幅键控”,记为ASK。也有称为“开关键控”(通断键控)的,所以又记作OOK信号。ASK是一种相对简单的调制方式。幅移键控(ASK)相当于模拟信号中的调幅,只不过与载频信号相乘的是二进制数码而已。幅移就是把频率、相位作为常量,而把振幅作为变量,信息比特是通过载波的幅度来传递的。二进制振幅键控(2ASK), 由于调制信号只有0或1两个电平,相乘的结果相当于将载频或者关断,或者接通,它的实际意义是当调制的数字信号为“1”时,传输载波;当调制的数字信号为“0”时,不传输载波。原理如图2-1所示,其中s(t)为基带矩形脉冲。一般载波信号用余弦信号,而调制信号是把数字序列转换成单极性的基带矩形脉冲序列,而这个通断键控的作用就是把这个输出与载波相乘,就可以把频谱搬移到载波频率附近,实现2ASK。实现后的2ASK波形如图2-2所示。 5 图2-1 2ASK调制 图2-2 输出的2ASK波形 2.2.2 FSK频移键控(Frequency Shift Keying) 所谓FSK就是用数字信号去调制载波频率,是数字信号传输中用的最早的一种调制方式。此方式实现起来比较容易,抗噪声和抗衰减性能好,稳定可靠,是中低速数据传输最佳选择。频移就是把振幅、相位作为常量,而把频率作为变量,通过频率的变化来实现信号的识别,原理如图2-3所示。在FSK中传送的信号只有0和1两个,而在M-FSK中则通过M个频率代表M个符号。输出后的2FSK波形如图2-4所示。 图2-3 2FSK调制原理 6 图2-4 输出后的2FSK波形 2.2.3 PSK相移键控(Phase Shift Keying) 在PSK调制时,载波的相位随调制信号状态不同而改变。如果两个频率相同的载波同时开始振荡,这两个频率同时达到正最大值,同时达到零值,同时达到负最大值,此时它们就处于“同相”状态;如果一个达到正最大值时,另一个达到负最大值,则称为“反相”。一般把信号振荡一次(一周)作为360度。如果一个波比另一个波相差半个周期,我们说两个波的相位差180度,也就是反相。当传输数字信号时,“1”码控制发0度相位,“0”码控制发180度相位。 PSK相移键控调制技术在数据传输中,尤其是在中速和中高速的数(2400bit/s,4800bit/s)中得到了广泛的应用。相移键控有很好的抗干扰性,•在有衰落的信道中也能获得很好的效果。我们主要讨论二相和四相调相,在实际应用中还有八相及十六相调相。 PSK也可分为二进制PSK(2PSK)和多进制PSK(MPSK)。在这种调制技术中,载波相位只有0和π两种取值,分别对应于调制信号的“0”和“1”。传“1“信号时,发起始相位为π的载波;当传“0”信号时,发起始相位为0的载波。2PSK的调制原理如图2-5所示。由“0”和“1”表示的二进制调制信号通过电平转换后,变成由“–1”和“1”表示的双极性NRZ(不归零)信号,然后与载波相乘,即可形成2PSK信号。 图2-5 2PSK的输出波形 在MPSK中,最常用的是四相相移键控,即QPSK(Quadrature Phase Shift Keying),在卫星信道中传送数字电视信号时采用的就是QPSK调制方式。对比可以看出,它可以看成是由两个2PSK调制器构成的。输入的串行二进制信息序列经串—并变换后分成两路速率减半的序列,由电平转换器分别产生双极性二电平信号I(t)和Q(t),然后对载波Acos2πfct和Asin2πfct进行调制,相加后即可得到QPSK信号。 PSK信号也可以用矢量图表示,矢量图中通常以零度载波相位作为参考相位。四相相移调制是利用载波的四种不同相位差来表征输入的数字信息,是四进制移相键控。QPSK是在M=4时的调相技术,它规定了四种载波相位,分别为45?,135?,225?,275?。调制器输入的数据是二进制数字序列,为了能和四进制的载波相位配合起来,则需要把二进制数据变换为四进制数据,这就是说需要把二进制数字序 7 列中每两比特分成一组,共有四种组合,即00,01,10,11,其中每一组称为双比特码元。每一个双比特码元是由两位二进制信息比特组成的,它们分别代表四进制四个符号中的一个符号。QPSK中每次调制可传输2个信息比特,这些信息比特是通过载波的四种相位来传递的。解调器根据星座图及接收到的载波信号的相位来判断发送端发送的信息比特。 图2-6的PSK信号矢量图中画出了2PSK、QPSK、8PSK的矢量图。图中只画出了矢量的端点,省去了矢量箭头,这样的矢量图也称为“星座图”。在星座图中,星座间的距离越大,信号的抗干扰能力就越强,接收端判决再生时就越不容易出现误码。 2.2.4 QAM正交振幅调制(Quadrature Amplitude Modulation) QAM(Quadrature Amplitude Modulation)就是用两个调制信号对频率相同、相位正交的两个载波进行调幅,然后将已调信号加在一起进行传输或发射。 QAM也可用于数字调制。数字QAM有4QAM、8QAM、16QAM、32QAM等调制方式。其中,16QAM和32QAM广泛用于数字有线电视系统。下面以16QAM为例介绍其原理。 图2-7给出了16QAM调制器框图及星座图。作为调制信号的输入二进制数据流经过串—并变换后变成四路并行数据流。这四路数据两两结合,分别进入两个电平转换器,转换成两路4电平数据。例如,00转换成–3,01转换成–1,10转换成1,11转换成3。这两路4电平数据g1(t)和g2(t)分别对载波cos2πfct和sin2πfct进行调制,然后相加,即可得到16QAM信号。 QAM调制效率高,要求传送途径的信噪比高,适合有线电视电缆传输。在美国,正交调幅通常用在地面微波链路,不用于国内卫星,欧洲的电缆数字电视采用QAM调制,而加拿大的卫星采用正交调幅。QAM是幅度、相位联合调制的技术,它同时利用了载波的幅度和相位来传递信息比特,因此在最小距离相同的条件下,QAM星座图中可以容纳更多的星座点,即可实现更高的频带利用率,目前QAM星座点最高已可达256QAM。 PSK只利用了载波的相位,它所有的星座点只能分布在半径相同的圆周上。当星座点较多时,星座点之间的最小距离就会很密,非常容易受到噪声干扰的影响。调制技术的可靠性可由相邻星座点之间的最小距离来衡量,最小距离越大,抵抗噪声等干扰的能力越强,当然前提是信号的平均功率相同。当噪声等干扰的幅度小于最小距离的1/2时,解调器不会错判,即不会传输误码;当噪声等干扰的幅度大于最小距离的1/2时,将传输误码。当星座点进一步增加时,即需要更高的频带利用率时,就要采用QAM调制。在PSK中I信号和Q信号互相不独立,为了得到恒定的包络信号,它们的数值是受到限制的,这是PSK信号的基本特性。如果去掉这一限制,就得到正交幅度调制QAM。作为一个特例,当每个正交信号只有两个数值时,QAM与4-PSK完全相同。当M>4时QAM的信号星座呈正方形分布,而不再像PSK那样沿着一个固定的圆周分布。 8 图2-6 2PSK QPSK 8PSK的矢量图 图2-7 16QAM调制器框图及星座图 以上三种调制技术所对应的波形比较如图2-8所示。 这些仿真图不是否是自己做的,能自己做最好。 2.3 2ASK、2FSK、2PSK、2DPSK解调技术 2.3.1 2ASK信号的解调 ASK信号解调的常用方法主要有两种:包络检波法和相干检测法。 9 包络检波法的原理方框图如图2-9所示。带通滤波器(BPF)恰好使ASK信号完整地通过,经包络检测后,输出其包络。低通滤波器(LPF)的作用是滤除高频杂波,使基带信号(包络)通过。抽样判决器包括抽样、判决及码元形成器。定时抽样脉冲(位同步信号)是很窄的脉冲,通常位于每个码元的中央位置,其重复周期等于码元的宽度。不计噪声影响时,带通滤波器输出为ASK信号,即 , 包络检波器输出为s(t)。经抽样、判决后将码元再生,即可恢复出数字序列。 相干检测法原理方框图如图2-10所示。相干检测就是同步解调,要求接收机产生一个与发送载波同频同相的本地载波信号,称其为同步载波或相干载波。利用此载波与收到的已调信号相乘,输出为 图2-9 2ASK的包络解调 图2-10 2ASK的相干解调 2.3.2 2FSK信号的解调 数字调频信号的解调方法很多,如鉴频法、相干检测法、包络检波法、过零检测法、差分检测法等。 1.包络检波法 包络检波法可视为由两路2ASK解调电路组成。这里,两个带通滤波器(带宽相同,皆为相应的2ASK信号带宽;中心频率不同,分别为(、)起分路作用,用以分开两路2ASK信号,上支路对应 ,下支路对应 ,经包络检测后分别取出它们的包络s(t)及;抽样判决器起比较器作用,把两路包络信号同时送到抽样判决器进行比较,从而判决输出基带数字信号。若上、下支路s(t)及 的抽样值分别用表示,则抽样判决器的判决准则为 10 图2-11 2FSK信号包络检波方框图 2. 相干检测法 相干检测的具体解调电路是同步检波器,原理方框图如图2-12所示。图中两个带通滤波器的作用同于包络检波法,起分路作用。它们的输出分别与相应的同步相干载波相乘,再分别经低通滤波器滤掉二倍频信号,取出含基带数字信息的低频信号,抽样判决器在抽样脉冲到来时对两个低频信号的抽样值进行比较判决(判决规则同于包络检波法),即可还原出基带数字信号。 图2-12 2FSK同步检测方框图 3. 过零检测法单位时间内信号经过零点的次数多少,可以用来衡量频率的高低。数字调频波的过零点数随不同载频而异,故检出过零点数可以得到关于频率的差异,这就是过零检测法的基本思想。过零检测法方框图及各点波形如图2-13所示。2FSK输入信号经放大限幅后产生矩形脉冲序列,经微分及全波整流形成与频率变化相应的尖脉冲序列,这个序列就代表着调频波的过零点。尖脉冲触发一宽脉冲发生器,变换成具有一定宽度的矩形波,该矩形波的直流分量便代表着信号的频率,脉冲越密,直流分量越大,反映着输入信号的频率越高。经低通滤波器就可得到脉冲波的直流分量。这样就完成了频率,幅度变换,从而再根据直流分量幅度上的区别还原出数字信号“1”和“0”。 11 图2-13 过零检测法方框图及各点波形图 4. 差分检测法 差分检波法基于输入信号与其延迟τ的信号相比较,信道上的失真将同时影响相邻信号,故不影响最终鉴频结果。实践表明,当延迟失真为0时,这种方法的检测性能不如普通鉴频法,但当信道有较严重延迟失真时,其检测性能优于鉴频法。 2.3.3 2PSK及2DPSK信号的解调 2PSK信号的相干接收框图如图2-14所示。 2-14 2PSK信号的相干接收框图 2DPSK信号的相干接收框图如图2-15所示。 图2-152DPSK信号的相干接收框图 2DPSK信号的差分相干接收框图如图2-16所示。 图2-162DPSK信号差分相干接收框图 2.4功率谱及带宽 12 2.4.1 2ASK的功率谱及带宽 一个2ASK信号可以表示成 (1) 这里,s(t)是代表信息的随机单极性矩形脉冲序列。 现设s(t)的功率谱密度为 ,的功率谱密度为 ,则由式(1)可以证得 (2) 对于单极性NRZ码,有 (3) 代入式(2),得2ASK信号功率谱 (4) 由图2-17可见: (1)2ASK信号的功率谱由连续谱和离散谱两部分组成。其中,连续谱取决于数字基带信号s(t)经线性调制后的双边带谱,而离散谱则由载波分量确定。 (2)2ASK信号的带宽 是数字基带信号带宽 的两倍。 (5) 图2-17 2ASK信号的功率谱 (3)因为系统的传码率 (Baud),故2ASK系统的频带利用率为 13 (6) 这意味着用ASK方式传送码元速率为 的二进制数字信号时,要求该系统的带宽至少为 (Hz) 2.4.2 2FSK信号的功率谱及带宽 2FSK功率谱表达式为: 其功率谱曲线如图所示,由离散谱和连续谱两部分组成。其中,连续谱由两个双边谱叠加而成,而离散谱出现在两个载频位置上,这表明2FSK信号中含有载波、的分量。 图2-18 2FSK信号的频谱和带宽 2.4.3 2PSK信号的频谱和带宽 2PSK信号与2ASK信号的时域表达式在形式上是完全相同的,所不同的只是两者基带信号s(t)的构成,一个由双极性NRZ码组成,另一个由单极性NRZ码组成。因此,求2PSK信号的功率谱密度时,也可采用与求2ASK信号功率谱密度相同的方法。 2PSK信号的功率谱密度可以写成 (1) 其中基带数字信号s(t)的功率谱密度。对于双极性NRZ码,有 (2) 需要注意的是,该式是在双极性基带信号“0”、“1”等概(p=1/2)出现的条件下获得的,一般情况下,当p?1/2时,中将含有直流分量。 将上式代入式(1),得 (3) 2PSK信号功率谱示意图如图2-19所示。 14 图2-19 2PSK信号的功率谱 由图2-19可见: (1)当双极性基带信号以相等的概率(p=1/2)出现时,2PSK信号的功率谱仅由连续谱组成。而一般情况下,2PSK信号的功率谱由连续谱和离散谱两部分组成。其中,连续谱取决于数字基带信号s(t)经线性调制后的双边带谱,而离散谱则由载波分量确定。 (2)2PSK的连续谱部分与2ASK信号的连续谱基本相同(仅差一个常数因子)。因此,2PSK信号的带宽、频带利用率也与2ASK信号的相同 (4) (5) 其中,为数字基带信号带宽。这就表明,在数字调制中,2PSK的频谱特性与2ASK十分相似。相位调制和频率调制一样,本质上是一种非线性调制,但在数字调相中,由于表征信息的相位变化只有有限的离散取值,因此,可以把相位变化归结为幅度变化。这样一来,数字调相同线性调制的数字调幅就联系起来了,为此可以把数字调相信号当作线性调制信号来处理了。但是不能把上述概念推广到所有调相信号中去。 3、 2ASK的调制和解调方案设计 3.1 2ASK调制方案 ASK有两种实现方法:乘法器实现法和键控法 。乘法器实现法的输入是随机信息序列,经过基带信号形成器,产生波形序列,乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器滤除高频谐波和低频干扰。带通滤波器的输出是振幅键控信号。乘法器常采用环形调制器。 线性调制的一般模型是通过一个乘法器将调制信号与正弦波信号相乘,然后对其输出进行适当的线性滤波得到,只要将载波信号与被调制信号相乘,即可实现双边带调制。由于实际乘法器的非线性作用,输出信号含有高次谐波分量,为了对上、下边频外的信号进行清除,我们加入滤波器,因此双边带调制信号的实际调制采用由乘法器和滤波器组成平衡调制器。 15 平衡调制器可用模拟乘法器实现,一般采用最理想的开关乘法器实现平衡调制。即用方波信号控制一个模拟双向开关,对调制信号周期性地切换于差动放大器的两输入端,进行同相和反相放大,从而产生调制。调制前信号是一正弦波,通过与方波信号相乘,即交替地乘上(+1)和(-1)可得调制输出信号。调制输出信号含有谐波分量。为消除谐波信号,在开关乘法器的滤波器中,我们在中心频率处适当地选取了有一定带宽的带通滤波器,可见谐波信号大大减弱。 键控法是产生ASK信号的另一种方法。二元制ASK又称为通断控制(OOK)。最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。 u(t) ASK 图3-1键控法产生ASK信号原理框图 图3-2键控电路 为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基带信号控制的电子开关来实现,代替电键产生ASK信号,上图所示就是以数字电路实现键控产生ASK信号的实例。该电路是用基带信号控制与非门的开闭,实现ASK调制,产生 信号。乘法器法相对于OOK而言,实现复杂,本设计采用键控法调制ASK信号。 3.2 2ASK的解调方案 ASK信号解调的常用方法主要有两种:包络检波法和相干检测法。 包络检波法的原理方框图如图3-3所示。带通滤波器(BPF)恰好使ASK信号完整地通过,经包络检测后,输出其包络。低通滤波器(LPF)的作用是滤除高频杂波,使基带信号(包络)通过。抽样判决器包括抽样、判决及码元形成器。定时抽样脉冲(位同步信号)是很窄的脉冲,通常位于每个码元的中央位置,其重复周期等于码元的宽度。不计 16 噪声影响时,带通滤波器输出为ASK信号,即,包络检波器输出为s(t)。经抽样、判决后将码元再生,即可恢复出数字序列。 相干检测法原理方框图如图3-4所示。相干检测就是同步解调,要求接收机产生一个与发送载波同频同相的本地载波信号,称其为同步载波或相干载波。利用此载波与收到的已调信号相乘,输出为 图3-3 2ASK信号的包络解调 图3-4 2ASK信号的相干解调 基带数字信号的最高频率相等。由于噪声影响及传输特性的不理想,低通滤波器输出波形有失真,经抽样判决、整形后再生数字基带脉冲。 ASK信号中存在着载波分量,可以通过窄带滤波器或锁相环来提取同步载波, 3.2.1 2ASK系统的抗噪声性能 如前所述,通信系统的抗噪声性能是指系统克服加性噪声的能力。在数字系统中它通常采用误码率来衡量。由于加性噪声被认为只对信号的接收产生影响,故分析系统的抗噪声性能只需考虑接收部分。 假定信道噪声为加性高斯白噪声n(t),其均值为0、方差为 ;接收的信号为 (1) 包络检测时ASK系统的误码率 对于图2所示的包络检测接收系统,其接收带通滤波器BPF的输出为 (2) 其中, 为高斯白噪声经BPF限带后的窄带高斯白噪声。 经包络检波器检测,输出包络信号 17 (3) 由式(2)可知,发“1”时,接收带通滤波器BPF的输出y(t)为正弦波加窄带高斯噪声形式;发“0”时,接收带通滤波器BPF的输出y(t)为纯粹窄带高斯噪声形式。于是,发“1”时,BPF输出包络x(t)的抽样值x的一维概率密度函数 服从莱斯分布;而发“0”时,BPF输出包络x(t)的抽样值x的一维概率密度函数 服从瑞利分布,如图3-5所示。 3-5包络检波时的误码率的几何表示 x(t)亦即抽样判决器输入信号,对其进行抽样判决后即可确定接收码元是“1”还是“0”。我们规定,倘若x(t)的抽样值 ,则判为“是1码”;若 ,判为“是0码”。显然,选择什么样的判决门限电平 与判决的正确程度(或错误程度)密切相关。选定的 不同,得到的误码率也不同。这一点可从下面的分析中清楚看到。 存在两种错判的可能性:一是发送的码元为“1”时,错判为“0”,其概率记为P(0/1);二是发送的码元为“0”时,错判为“l”,其概率记为P(0/1)。由图5可知 (4) (5) 式中, 分别为图5所示阴影面积。假设发送“1”码的概率为P(1),发送“0”码的概率为P(0),则系统的总误码率 为 (6) 当P(1)=P(0)=1/2,即等概时 (7) 也就是说, 就是图10中两块阴影面积之和的一半。不难看出,当 时,该阴影面积之和最小,即误码率 最低。称此使误码率获最小值的门限 为最佳门限。采用包络检波的接收系统,通常是工作在大信噪比的情况下,可以证明,这时的最佳门限 ,系统的误码率近似为 18 (8) 式中, 为包检器输入信噪比。由此可见,包络解调2ASK系统的误码率随输入信噪比r的增大,近似地按指数规律下降。 必需指出,式(17)是在等概、大信噪比、最佳门限下推导得出的,使用时应注意适用条件。 相干解调时ASK系统的误码率 ASK信号的相干解调接收系统如图3所示。图中,接收带通滤波器BPF的输出与包络检波时相同,为 (9) 取本地载波为 ,则乘法器输出 (10) 将式(18)代入,并经低通滤波器滤除高频分量,在抽样判决器输入端得到 (11) 可知, 为高斯噪声,因此,无论是发送“1”还是“0”,x(t)瞬时值x的一维概率密度 都是方差为 的正态分布函数,只是前者均值为A,后者均值为0,即 (12) (13) 其曲线如图3-6所示。 图3-6相干检测是误码率的几何表示 类似于包络检波时的分析,不难看出:若仍令判决门限电平为 ,则将“0”错判为“l”的概率P(1/0)及将“1”错判为“0”的概率P(0/1)分别为 (14) (15) 19 式中, 分别为图3-6所示的阴影面积。假设P(1)=P(0),则系统的总误码率 为 (16) 且不难看出,最佳门限 。 综合式(12)~式(16),可以证明,这时系统的误码率为 (17) 式中, 为解调器输入信噪比。当 时,上式近似为 (18) 上式表明,随着输入信噪比的增加,系统的误码率将更迅速地按指数规律下降。 必须注意,式(17)的适用条件是等概、最佳门限;式(18)的适用条件是等概、大信噪比、最佳门限。 比较式(18)和式(9)可以看出,在相同大信噪比情况下,ASK信号相干解调时的误码率总是低于包络检波时的误码率,即相干解调ASK系统的抗噪声性能优于非相干解调系统,但两者相差并不太大。然而,包络检波解调不需要稳定的本地相干载波,故在电路上要比相干解调简单的多。 另外,包络检波法存在门限效应,相干检测法无门限效应。所以,一般而言,对ASK系统,大信噪比条件下使用包络检测,即非相干解调,而小信噪比条件下使用相干解调。故本设计采用相干检测解调。 4、 FPGA介绍与电路原理图、程序设计 4.1 FPGA的发展 自1985年Xilinx公司推出第一片现场可编程逻辑器件(FPGA)至今,FPGA已经历了十几年的发展历史。在这十几年的发展过程中,以FPGA为代表的数字系统现场集成技术取得了惊人的发展:现场可编程逻辑器件从最初的1200个可利用门,发展到90年代的25万个可利用门,乃至当新世纪来临之即,国际上现场可编程逻辑器件的著名厂商Altera公司、Xilinx公司又陆续推出了数百万门的单片FPGA芯片,将现场可编程器件的集成度提高到一个新的水平。 纵观现场可编程逻辑器件的发展历史,其之所以具有巨大的市场吸引力,根本在于:FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使FPGA越来越多地取代了ASIC的市场,特别是对小批量、多品种的产品需求,使FPGA成为首选。 20 目前,FPGA的主要发展动向是:随着大规模现场可编程逻辑器件的发展,系统设计进入"片上可编程系统"(SOPC)的新纪元;芯片朝着高密度、低压、低功耗方向挺进;国际各大公司都在积极扩充其IP库,以优化的资源更好的满足用户的需求,扩大市场;特别是引人注目的所谓FPGA动态可重构技术的开拓,将推动数字系统设计观念的巨大转变。Xilinx公司自发明FPGA以来,就不断的推出新器件和开发工具,力求芯片的速度更高、功耗更低。 Virtex 系列FPGA集成了许多满足系统级设计要求的新性能,具有独特的结构特点。整个Virtex系列由九种器件组成,系统门数从5万到100万门(1,728到27,648个逻辑单元);提供给用户的I/O引脚数最多超过500个;采用多种封装形式,包括先进的1.0mm FinePitchTMBGA和0.8mm芯片封装;采用5层金属的0.22微米CMOS 工艺 钢结构制作工艺流程车尿素生产工艺流程自动玻璃钢生产工艺2工艺纪律检查制度q345焊接工艺规程 ,实现5V容差的I/O接口;借助于优选的时序驱动的布局和布线工具,在400MHz的P?CPU上,编译速度可达20万门/秒。 Virtex系统的独特结构使它具有以下一些重要性能: ?拥有四重数字化延时锁定电路(DLL),用于内外时钟同步;使芯片到芯片间的通讯速度达到200MHz;所有器件从时钟到输出的延时均小于3ns;时钟可倍频和分频,可进行00,900,1800,2700相移。 ?各种密度产品均设置向量式互连,使布线快速可预测,与内核配合良好。 ?Virtex支持3级存储。它的SelectRAM+存储层为字节级(分布式存储)、千字节级(块存储)和兆字节级(与外部DRAM和SRAM的SSTL3接口)存储块提供很高的频宽。 ?采用SelectI/OTM技术,同时支持多种电压和信号 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 。 ?兼容66MHz/64比特PCI和Compact PCI。 在推出Virtex FPGA之后不到一年,Xilinx又推出了Virtex-E系列产品,其性能和密度可与ASIC匹敌。Virtex-E系列产品的主要特点是:拥有 320万个系统门;832k位的真双端口内部块状RAM;8个DLL并支持超过20种不同的信号标准,包括LVDS、Bus LVDS以及LVPECL;采用0.18um工艺制造,在单个器件上实现了2.1亿个晶体管的密度。总之,Virtex和Virtex-E 系列不仅将FPGA性能推向一个新层面,还解决了向系统集成的挑战。 Xilinx产品的另一个发展方向是实现可编程逻辑器件在大批量生产中的应用,所以对成本要求更高。Spartan系列是以XC4000系列结构为基础,并结合了片上RAM 、强大的IP库支持和大容量、低价格的特点,使其可在大批量生产中替代ASIC。 Spartan系列的主要特点是:系统门数可达40,000门;灵活的片上存储器,分布式和块存储器;4个数字延迟锁相环,有效的芯片级/板级时钟管理;Select I/O技术保证同所有主要总线标准如HSTL、GTL、SSTL等的接口;具有功率管理(睡眠模式)。 Altera公司研制开发的FPGA系列产品的主要特征 Altera公司自从事FPGA的开发研制以来,不断的进行技术创新,研制开发新产品。该公司的基于CMOS的现场可编程逻辑器件同样具有高速、高密度、低功耗的特点。 21 近期,Altera公司主要有四个品种系列:胶合(glue)逻辑类的MAX,低价位的ACEX系列、高速FLEX系列、高密度的APEX 系列。 Altera 公司针对通信市场推出的新型低成本器件--ACEX系列(以前的名称是ACE)。该系列的主要特点为:密度范围从1万到10万门(56,000到 257,000系统门);配备锁相环(PLL),与64位、66MHZ的PCI兼容;产品系列从原1.8v扩展至2.5v;提供系统速度超过115MHZ 的高性能。 Altera公司还对FPGA的结构进行优化,提供更多的嵌入式RAM。新近推出的FLEX 10KE系列器件是以前的FLEX 10K系列器件的增强型,该系列在结构上采用了与FLEX 10K系列相同的逻辑块,但片内嵌入式RAM是FLEX 10K系列的两倍,而且增加了一个双端口RAM,这对通信应用来说是一个重要的优势所在。Altera公司预计该系列器件可用于66MHZ的工作频率,密度范围为3万~25万门,能够用于66MHZ的PCI和通信应用。 Altera 公司的高密度APEX 20KE系列器件,其主要特点是:真正实现了的低压差信号(low-voltage differential signaling, LVDS)通道,并提供840兆比特的数据传输率。在APEX 20KE系列中的锁相环(PLL)可以提供多种LVDS。设计者可以在1×,4×,7×和8×数据传输模式中实现LVDS I/O标准。 另一方面,随着现场可编程逻辑器件越来越高的集成度,加上对不断出现的I/O标准、嵌入功能、高级时钟管理的支持,使得设计人员开始利用现场可编程逻辑器件来进行系统级的片上设计。Altera公司目前正积极倡导SOPC(System on a Progrmmable Chip,系统可编程芯片)。 "片上可编程系统"(SOPC)得到迅速发展,主要有以下几个原因: 1( 密度在100万门以上的现场可编程逻辑芯片已经面市; 2( 第4代现场可编程逻辑器件的开发工具已经成形,可对数量更多的门电路进行更快速的分析和编译,并可使多名设计人员以项目组的方式同步工作; 3( 知识产权(IP)得到重视,越来越多的设计人员以"设计重用"的方式对现有软件代码加以充分利用,从而提高他们的设计效率并缩短上市时间; 4( 由于连接延迟时间的缩短,片上可编程系统(SOPC)能够提供增强的性能,而且由于封装体积的减小,产品尺寸也减少了。 Altera公司为了实现SOPC的设计,不仅研制开发出新器件,而且还研制出新的开发工具对这些新器件提供支持,并且与新芯片及软件相配合的是带知识产权的系统级设计模块解决方案,它们的参数可由用户自己定义。芯片、软件及知识产权功能集构成了Altera完整的可编程解决SOPC方案--- Excalibur解决方案, Actel公司一直是世界反熔丝技术FPGA的领先供应商,主要有两大系列的反熔丝,SX-A系列FPGA的主要特点是功耗低、在接上了所有内部寄存器之后,200MHZ运行时的功耗不到1w,而且价格也较为低廉、并拥有良好的性能。SX -A(0.22/0.25um)和SX (0.35um) FPGA系列可以提供12,000到108,000个可用门; 22 64-bit,66MHZ的PCI;330MHZ的内部时钟频率,4ns的时钟延迟,它的输入设置时间小于0.6ns,不需要逐步锁定的循环指令;可提供2.5v,3.3v和5v的电压。这就使FPGA能够具有一些以前无法实现的功能,使设计者能够把多个高性能的CPLD压缩到一片FPGA中,大大降低了功耗,节省了电路板空间,减少了费用。 ProASIC FPGAs的主要特点是:提供98,000到110,000个可用门;内嵌拥有FIFO控制逻辑的两端口SRAM(容量达到138,000比特);提供大于200MHZ的内部时钟频率;该系列产品的功耗仅是基于SRAM的FPGA产品的1/3到1/2。 技术发展分析 从以上对Xilinx、Altera和Actel三家公司各自开发产品特征的介绍,可以看出2000年以FPGA为代表的数字系统现场集成技术发展的一些新动向,归纳起来有以下几点:? 深亚微米技术的发展正在推动了片上系统(SOPC)的发展。 越来越多的复杂IC需要利用SOPC技术来制造。而SOPC要利用深亚微米技术才能实现。随着深亚微米技术的发展,使SOPC的实现成为可能。与以往的芯片设计不同,SOPC需要对设计IC和在产品中实现的方法进行根本的重新评价。 新的SOPC世界要求一种着重于快速投放市场的,具有可重构性、高效自动化的设计方法。这种方法的主要要素是:1.系统级设计方法;2.高级的多处理器和特长指令字(VLIW);3.应用级映射和编译。但是,真正推动SOPC设计的将是系统级设计而不是特定的硬件或软件设计方法(如图8所示)。系统级设计是把一个应用当作一个并行的通信任务系统的设计。着重点放在设计活动的并行性以及在整个应用中利用高度并发的、平行的特性。在SOPC领域中所要求的关键技术是在这些平台上把一个应用的系统级描述转化成一个高效率的实现。 图4-1 SOPC设计将被系统级设计而不是被特定的硬件或软件设计方法驱动 为了实现SOPC,国际上著名的现场可编程逻辑器件的厂商Altera公司、Xilinx公司都为此在努力,开发出适于系统集成的新器件和开发工具,这又进一步促进了SOPC的发展。 ? 芯片朝着高密度、低压、低功耗的方向挺进。 采用深亚微米的半导体工艺后,器件在性能提高的同时,价格也在逐步降低。由于便携式应用产品的发展,对现场可编程器件的低压、低功耗的要求日益迫切。因此,无论那个厂家、哪种类型的产品,都在瞄准这个方向而努力。例如在前面所提到的 23 Xilinx公司的SpantanTM系列的FPGA、Altera公司的APEX 20KE器件、ACEX系列以及Actel公司的SX系列产品都是向高密度、低压、低功耗发展的典范。 不仅如此,更有新型的公司以其特色的技术加入低压、低功耗芯片的竞争。典型的如Philips Semiconductors推出的 CoolRunner 960,是一种具有960个宏单元的CPLD,无论在何种应用中,都能提供标准的6ns传输延迟、工作于3v的电压下。该器件低功耗的关键是采用了Zero Power互连阵列,它用一个由外部逻辑实现的CMOS门,代替了其它CPLD常用的对电流敏感的运放。这样当其它的相等规模的CPLD需要消耗250mA的静电流时,CoolRunner 960的耗电不到100mA? IP库的发展及其作用。为了更好的满足设计人员的需要,扩大市场,各大现场可编程逻辑器件的厂商都在不断的扩充其知识产权(IP)核心库。这些核心库都是预定义的、经过测试和验证的、优化的、可保证正确的功能。设计人员可以利用这些现成的IP库资源,高效准确的完成复杂片上的系统设计。典型的IP核心库有Xilinx公司提供的 LogiCORE和AllianceCORE。(4)FPGA动态可重构技术意义深远。随着数字逻辑系统功能复杂化的需求,单片系统的芯片正朝着超大规模、高密度的方向发展。与此同时,人们却发现一个有趣的现象,即一个超大规模的数字时序系统芯片,在其工作时,从时间轴上来看,并不是每一瞬间系统的各个部分都在工作,而系统是各个局部模块功能在时间链上的总成。同时,人们还发现,基于 SRAM编程的FPGA可以在外部逻辑的控制下,通过存储于存储器中不同的目标系统数据的重新下载,来实现芯片逻辑功能的改变。正是基于这个称之为静态系统重构的技术,有人设想,能不能利用芯片的这种分时复用特性,用较小规模的FPGA芯片来实现更大规模的数字时序系统。在研究过程中,有人尝试了这种设想,发现常规的SRAM的FPGA只能实现静态系统重构。这是因为该芯片功能的重新配置大约需要数毫秒到数十毫秒量级的时间;而在重新配置数据的过程中,旧的逻辑功能失去,新的逻辑功能尚未建立,电路逻辑在时间轴上断裂,系统功能无法动态连接。但是,要实现高速的动态重构,要求芯片功能的重新配置时间缩短到纳秒量级,这就需要对FPGA的结构进行革新。可以预见,一旦实现了FPGA的动态重构,则将引发数字系统的设计的思想的巨大转变。 综上所述,我们可以看到在新世纪,以FPGA为代表的数字系统现场集成技术正朝着以下几个方向发展。 ?随着便携式设备需求的增长,对现场可编程器件的低压、低功耗的要求日益迫切。 ?芯片向大规模系统芯片挺进,力求在大规模应用中取代ASIC。 ?为增强市场竞争力,各大厂商都在积极推广其知识产权IP库。 ?动态可重构技术的发展,将带来系统设计方法的转变。 FPGA自上而下的设计方法 24 电子技术的日新月异,不断地改变着人们的生活方式。而世界电子技术及设计方法的发展,正在给中国的电子设计工程师们以新的挑战和压力。不能否认,目前中国电子设计技术仍远远落后于发达国家水平,尤其是在电子技术的基础产业,即IC/ASIC方面。当国人以国产计算机,电视,VCD,影碟机等产业欣欣向荣,飞速发展而沾沾自喜的时候,却不能不看到,几乎所有的核心技术和几乎所有的内部关键集成电路,仍然印着国外半导体厂家的商标。单从时间上看,国内技术可能只落后一、二十年,但是我们更应该看到:一方面,这一产业的发展是以非线性速度向前推进的,另一方面,当发达国家“全民皆兵”,整体发展水平平均较高时,国内仍局限在为数不多的几个国家重点投资企业或合资/独资企业里。 然而,我们有幸的看到,FPGA/EPLD的发展正逐步取代越来越多的ASIC市场。可重复使用,小批量,低投入,高性能,高密度,开发周期短等诸多优点,使国内更多的工程师们乐于采用。由于不需要任何投片费用,也不需要建立任何生产线,因此,采用FPGA/EPLD所需的投资远远低于ASIC的投资。据估计,引进一套先进的FPGA Top-Down设计工具所需费用还不到ASIC所需的十分之一,而大力推广先进的FPGA设计方法,既可以降低诸多产业投资成本,也可培养一大批国内的Top-Down的设计人才,从而提高国内Top-Down设计的整体水平,为将来国内ASIC产业的快速、健康发展打下坚实的基础。 FPGA/EPLD的自上而下(Top-Down)设计方法: 传统的设计手段是采用原理图输入的方式进行的,如图1所示。通过调用FPGA/EPLD厂商所提供的相应物理元件库,在电路原理图中绘制所设计的系统,然后通过网表转换产生某一特定FPGA/EPLD厂商布局布线器所需网表,通过布局布线,完成设计。原理图绘制完成后可采用门级仿真器进行功能验证。 传统的设计手段与Top-Down设计工具的比较 然而,工程师的最初设计思想不是一开始就考虑采用某一FPGA/EPLD厂商的某一特定型号器件,而是从功能描述开始的。设计工程师首先要考虑规划出能完成某一具体功能、满足自己产品系统设计要求的某一功能模块,利用某种方式(如HDL硬件描述语言)把功能描述出来,通过功能仿真(HDL仿真器)以验证设计思路的正确性。当所设计功能满足需要时,再考虑以何种方式(即逻辑综合过程)完成所需要的设计,并能直接使用功能定义的描述。实际上这就是自顶而下设计方法。 与传统电原理图输入设计方法相比,Top-Down设计方法具体有以下优点: ,、完全符合设计人员的设计思路,从功能描述开始,到物理实现的完成。 25 ,、功能设计可完全独立于物理实现 在采用传统的电原理输入方法时,FPGA/EPLD器件的采用受到器件库的制约。由于不同厂商FPGA/EPLD的结构完全不同,甚至同一厂商不同系列的产品也存在结构上的差别,因此,在设计一开始,工程师的设计思路就受到最终所采用器件的约束,大大限制了设计师的思路和器件选择的灵活性。而采用Top-Down设计方法,功能输入采用国际标准的HDL输入方法,HDL可不含有任何器件的物理信息,因此工程师可以有更多的空间去集中精力进行功能描述,设计师可以在设计过程的最后阶段任意选择或更改物理器件。 ,、设计可再利用 设计结果完全可以以一种知识产权(IP-Intellectual Property)的方式作为设计师或设计单位的设计成果,应用于不同的产品设计中,做到成果的再利用。 ,、易于设计的更改 设计工程师可在极短的时间内修改设计,对各种FPGA/EPLD结构进行设计结果规模(门消耗)和速度(时序)的比较,选择最优方案。 ,、设计、处理大规模、复杂电路 目前的FPGA/EPLD器件正向高集成度、深亚微米工艺发展。为设计系统的小型化,低功耗、高可靠性等提供了集成的手段。设计低于一万门左右的电路,Top-Down设计方法具有很大的帮助,而设计更大规模的电路,Top-Down设计方法则是必不可少的手段。 ,、设计周期缩短,生产率大大提高,产品上市时间提前,性能明显提高,产品竞争力加强。据统计,采用Top-Down设计方法的生产率可达到传统设计方法的2到4倍。 Top-Down设计流程如图2所示,其核心是采用HDL语言进行功能描述,由逻辑综合(Logic Synthesis)把行为(功能)描述转换成某一特定FPGA/EPLD的工艺网表,送到厂商的布局布线器完成物理实现。在设计过程的每一个环节,仿真器的功能验证和门级仿真技术保证设计功能和时序的正确性。 FPGA/EPLD Top-Down 设计工具的黄金组合 Mentor Graphics公司提供一整套基于UNIX平台和Windows 95/NT 平台的FPGA/EPLD Top-Down设计工具:Renoir/ModelSim 和Exemplar,如图2所示。两种平台的工具具有相同的用户界面,并保证数据库的完全统一。目前,在FPGA/EPLD 26 Top-Down设计方法全球市场上,Mentor已拥有42%的市场份额,远远领先于其他任何一个厂家。 采用Top-Down设计方法进行FPGA/EPLD设计,其设计结果的优劣与否取决于三个重要的因素:描述手段(即HDL语言)、设计方法(Style)和设计工具。描述手段是基础,设计方法需要工程经验,而设计工具则是Top-Down设计的关键。一套完整、强大、性能卓越的设计工具,可帮助设计工工程师最大限度的发挥其设计能力。 1( 图形化输入工具-Renoir ---设计工程师采用Top-Down方法进行FPGA/EPLD设计所面临到的第一个问题就是HDL语言的学习。语言的学习过程和应用能力直接影响设计产品的完成及其性能。但是设计师进行产品设计的最初并不是考虑如何去写语言,而是习惯于画出设计的框图,并采用图形化方法(流程图、状态图、真值表等)把它描述出来。Renoir这一图形化输入工具,不仅可以帮助设计师完成产品的功能描述,更可以自动生成HDL语言,为逻辑综合提供必要的输入数据。 采用图形化输入方法主要优点体现在: 1. 提供框图、流程图、状态图、真值表等图形输入方法,使设计工程师从纯文 本的设计方法理解脱出来,设计手段更贴近于设计师的思维过程: 2. 便于工程师之间进行设计的相互交流以及对前人/他人设计结果的理解与再 利用; 3. 便于初学者学习HDL语言; 4. 便于设计成果的存档,以便设计交流与再利用。 ---Renoir作为新一代的图形化输入工具更具有以下诸多优点: 1. 自动生成高效的HDL语言描述,生成结果可进行功能验证及逻辑综合; 2. 完全支持VHDL和Verilog两种国际标准,并完全支持VHDL/Verilog的混合描述; 3. 支持UNIX和Win95/NT两种平台,具有相同界面和数据库。Win95/NT平台采用 标准的Windows界面,易学易用; 4. 支持框图/流程图的动画(Animation)仿真、调试过程便于设计的调试; 5. 即插即用(plug and play),与多种仿真器、综合器及软硬件协同验证工具有 完善的接口,组成各种设计流程; 6. 在线查错功能(On line checking),进行语法和可综合性检查; 7. 语言到图形的转换,可以把VHDL、Verilog或混合HDL语言描述换成框图、流程 图或状态图,并保持原设计的层次结构; 8.支持OLE(Object Liking and Embedding)标准,可把Renoir中的任何图形设计形式连接或嵌入到任一支持OLE的应用程序中,如Word、Powerpoint等,以便用户建立设计文档; 9.支持在图形输入中加入注释、属性(pragma, attribute)、并可自动加到所产生的HDL源码中; 10.支持IP调用,并可自动生成相应符号,以使IP嵌入到所设计的系统中; 27 完善的设计管理,支持设计项目管理、设计层次管理、设计小组管理及设计数据 版本管理等; 11.通过需求与设计可跟踪(Requirement & Tracebility)管理,不仅保证设计正确,而且保证正确设计(Design thing Right and Design Right thing)。 2. 逻辑综合工具-Exemplar ---- 逻辑综合工具是通过映射和优化过程,把设计功能描述转换成与物理实现密切相关的工艺网表。在转换过程中,不仅需要确保每一功能映射正确,还需保证尽量采用较少的硬件开销,满足设计的时序要求。因此,逻辑综合工具是FPGA/EPLD Top-Down设计过程的关键。 Exemplar的主要特点: 1.完全支持VHDL/Verilog两种国际标准; 2.针对不同结构的FPGA/EPLD器件,采用不同的综合优化算法,以保证结果的最优化; 3.支持不同类型器件的重映射,设计师可直接从一种器件的工艺网表映射到另一种器件的工艺网表,无需重新设计; 4.支持各厂商器件网表的不同格式输入与输出。如:XNF,EDIF等: 5.支持布局、布线后设计的反标注,产生后仿真所需功能网表(HDL)及延时网表(SDF); 6.持静态时序分析; 7.支持综合结果的图形输出,设计师可通过图形输出跟踪分析关键路径(Critical Path); 8.支持广泛的FPGA厂商及其最新芯片型号,包括采用深亚微米技术的器件。厂商包括:Actel、Altera、Atmel、Cypress、Lattice、Lucent、Motorola、Quicklogic、Xilinx等; 9.FPGA/EPLD设计到ASIC设计可实现无缝升级,保证设计数据的兼容性及可再利用性; 10.即插即用,可与各种前端/后端工具结合使用,设计数据无虚人为干预/修改; 11.持UNIX平台和Win95/NT平台,不同平台工具具有相同的用户界面、功能、 并完全保证设计数据的兼容性。 3. 功能仿真与时序验证-ModelSim ---- 在FPGA/EPLD Top-Down设计流程中,设计仿真包含在设计过程的每一环节中,以保证设计的正确性。 ModelSim不仅可以完成设计的功能验证(RTL级),也可实现逻辑综合后的门级仿真以及布局布线后的功能和时序验证。 -ModelSim的主要特点: 1. 完全支持VHDL和Verilog标准; 2. 采用直接编辑技术(Direct-Compiled),大大提高HDL编译和仿真速度; 3. 唯一支持VHDL和Verilog混合描述的仿真工具; 4. 支持RTL级和门级验证,支持VITAL,SDF等; 28 5. 具有友好的用户界面,仿真器包括主控窗口、源码窗口、仿真波形窗口、列表窗 口、数据流窗口、设计结构/层次窗口、过程管理窗口等; 6. 支持单步调试,断点设置,批命令处理方式,帮助设计师快速完成设计调试和验 证; 7. 可与Renoir协同工作,完成状态图和流程图的动画调试; 8. 即插即用,可与其它工具结合,完成各种流程; 9. 支持UNIX和Window 95/NT平台,不同平台间具有相同的用户界面和数据 4.2 2ASK调制的建模及FPGA的实现 4.2.1 2ASK调制建模 2ASK调制的建模方框图及VHDL建模符号如图4-2所示。图中为数字部分,输出信号为数字信号。 建模思想: )采用数字载波信号数字载波信号产生的方法可以从外部输入,也可以通过高频(1 时钟信号分频得到。 (2)采用键控法:调制在图4-1中,数字基带信号作为键控信号控制与门来完成ASK调制。 (3)数字载波调制的ASK信号可经过外接滤波器转换成模拟形式的信号输出。 因为采用数字载波调制的ASK信号是数字信号,含丰富的高频成分,所以经过一个带通滤波器或低通滤波器后,将减少高频成分,输出的信号接近模拟载波调制。 clk 已调信号 分频器 载波f 与门 start 基带信号 clk PL-ASK start y x 图4-1 2ASK调制的方框图及VHDL建模符号 4.2.2 2ASK的调制程序 文件名:PL_ASK 29 功能:基于VHDL硬件描述语言,对基带信号进行ASK振幅调制 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_ASK is port(clk :in std_logic; --系统时钟 start :in std_logic; --开始调制信号 x :in std_logic; --基带信号 y :out std_logic); --调制信号 end PL_ASK; architecture behav of PL_ASK is signal q:integer range 0 to 3; --分频计数器 signal f :std_logic; --载波信号 begin process(clk) begin if clk'event and clk='1' then if start='0' then q<=0; elsif q<=1 then f<='1';q<=q+1; --改变q后面数字的大小,就可以改变载波信号的占空比 elsif q=3 then f<='0';q<=0; --改变q后面数字的大小,就可以改变载波信号的频率 else f<='0';q<=q+1; end if; end if; end process; y<=x and f; --对基带码进行调制 end behav; 4.3 2ASK解调的建模及FPGA的实现 4.3.1 2ASK解调建模 2ASK解调方框图及VHDL建模符号如图4-2所示,调制信号为数字信号形式。 建模思想: 首先考虑输入信号根据ASK信号的相干解调原理,解调信号应该包括接收端的本地同步载波,ASK信号,但考虑到本设计采用的器件为CPLD/FPGA器件,因而解调器也应该采用数字载波。得到数字载波的一种方法是:从ASK信号中应用模拟滤 30 波器锁相环提取模拟载波信号后,进行整形放大,得到与发送端同步的数字载波,另一种方法是:采用锁相环提取载波。为了设计方便,采用外时钟输入,控制分频器,得到数字载波,并假设时钟信号与发送端时钟同步且ASK信号为数字信号。 解调器的建模设计:解调器包括分频器,计数器,寄存器和判决器等。分频器的功能是对时钟信号进行分频得到与发送端数字载波相同的数字载波信号,寄存器的功能是在时钟的上升沿到来时把数字ASK信号存入寄存器XX,计数器的功能是利用分频器输出的载波信号作为计数器的时钟信号,在其上升沿到来时,对寄存器中的ASK载波个数进行计数,当计数值M大于3时,输出为:“1”,否则则输出为:“0”,判决器的功能是:以数字载波作为判决时钟,对计数器输出信号进行抽样判决,并输出解调后的基带信号。 clk 分频器q start 计数器m 判决 基带信号 ASK信号 寄存器xx clk PL-ASK2 start y x 图4-2 2ASK解调方框图及VHDL建模符号 4.3.2 2ASK的解调程序 文件名:PL_ASK2 功能:基于VHDL硬件描述语言,对ASK调制信号进行解调 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_ASK2 is port(clk :in std_logic; --系统时钟 start :in std_logic; --同步信号 x :in std_logic; --调制信号 y :out std_logic); --基带信号 31 end PL_ASK2; architecture behav of PL_ASK2 is signal q:integer range 0 to 11; --计数器 signal xx:std_logic; --寄存x信号 signal m:integer range 0 to 5; --计xx的脉冲数 begin process(clk) --对系统时钟进行q分频, begin if clk'event and clk='1' then xx<=x; --clk上升沿时,把x信号赋给中间信号xx if start='0' then q<=0; --if语句完成q的循环计数 elsif q=11 then q<=0; else q<=q+1; end if; end if; end process; process(xx,q) --此进程完成ASK解调 begin if q=11 then m<=0; --m计数器清零 elsif q=10 then if m<=3 then y<='0'; --if语句通过对m大小,来判决y输出的电平 else y<='1'; end if; elsif xx'event and xx='1'then m<=m+1; --计xx信号的脉冲个数 end if; end process; end behav; 5、 仿真 5.1 关于QuartusII软件 QuartusII是Altera公司的综合性开发软件,支持原理图、VHDL、VerilogHDL以及AHDL等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLDA设计流程。 QuartusII可以在PC、Linux、以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一, 32 功能集中,易学易用等特点。 QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性,加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。 此外,通知和DSP Buider工具与Matlab、Simulink相结合,可以方便的实现各种DSP应用系统;支持Altera的片上可编程系统开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。 QuartusII的设计流程如图示5-1所示 设计输入 设计编译 功能仿真 时序仿真 硬件配置与验证 图5-1 QuartusII的设计流程 用户首先对所做项目进行设计,明确设计目的、设计要求。然后利用原理图输入方式或程序输入方式进行设计输入。输入完成后进行编译,若编译过程中发现错误,则应检查设计输入以修改错误,直至没有错误产生。编译完成后进行仿真,检查是否达到设计要求,若为达到要求,需重新检查设计输入及编译过程,不断迭代、收敛直至满足设计要求。最后将设计配置到目标器件中进行硬件验证与测试。 QuartusII为设计者提供了完善的设计环境,适于模块的层次化设计方法。 QuartusII的设计方法在许多方面与Altera的上一代PLD设计工具MaxplusII类似,熟悉MaxplusII的设计者可以通过QuartusII菜单中的Tools—,Customize... 对话框将界面设置成MaxplusII的显示方法。 本设计既是按QuartusII的设计流程所做。 5.2关于VHDL VHDL语言作为一种数字电子系统设计语言,在集成电路前端设计,用FPGA器件实现的数字电子系统设计的过程中,发挥着十分重要的作用。用EDA工程的方法进行现代电子系统设计,对于科研、教学领域是一门新发展的工程学科,对于信息 33 产业领域的设计企业、生产企业是一项先进的设计和生产技术。 电子设计技术由于计算机技术的发展而产生了巨大变化。经典的电子设计方法,是用电路图表示设计思想,用实验电路板搭载实验电路,进行模拟、仿真,用电子测试仪器进行功能、性能测试。20世纪80年代,计算机辅助设计(CAD)技术开始发展,许多CAD工具软件开始流行。在信息学科领域也和其他学科一样,计算机辅助设计技术步入了发展轨道。由于电子科学是计算机科学的基础,计算机学科的发展离不开电子学科的支持,但是计算机科学又反作用于电子科学,加速了电子学科的发展,使得电子设计技术很快由计算机辅助设计阶段进入了电子设计自动化(EDA)阶段。这是一个质的飞越,因为在EDA工程中,用硬件描述语言表达设计思想,用计算机进行模拟、仿真,可测试设计把测试器件设计到芯片系统内部,实现了内建自测试功能。利用EDA集成设计环境,可以使电子设计流程全自动实现。我们把利用EDA工程进行电子设计的方法称为现代电子设计。 20世纪80年代,电子产品设计由分立元件设计过渡到用通用集成电路为基本元件的设计。这两个阶段各自有几十年的发展时间。随着EDA工程的发展,电子产品的设计在21世纪初进入到以IP核为基本单元的设计时代。在电子设计初级阶段,设计工程师用分立电子元件设计电路,体积大,功耗多,可靠性低。采用通用集成电路芯片设计电子系统后,电子系统整机体积大大缩小,功耗大幅度下降。TTL门电路、CMOS器件都是设计工程师选择的常用元件。在现代电子设计阶段,设计工程师不再选用分立电子元件、通用集成电路,而是选用IP核作为电子设计的基本元件。这在概念上有较大差别。分离元件、通用集成电路芯片是一个实际的电子元件,IP核则是一段具有特定电路功能的硬件描述语言程序,该程序与集成电路工艺无关,可以移植到不同的半导体工艺中去生产集成电路芯片。利用IP核设计电子系统,引用方便,修改基本元件的功能容易。具有复杂功能和商业价值的IP核一般具有知识产权,尽管IP核的市场活动还不规范,但是仍有许多集成电路设计公司从事IP核的设计、开发和营销工作。IP核有两种,与工艺无关的VHDL程序称为软核;具有特定电路功能的集成电路版图称为硬核。硬核一般不允许更改,利用硬核进行集成电路设计难度大,但是容易成功流片。 电子系统设计由板上设计发展到片上系统(SOC)设计。在板上系统设计阶段,即经典电子设计阶段,许多分立元件、通用集成电路芯片和一些小型的机械元件安装在电路板上。印刷电路板(PCB)设计一度成为电子产品设计的关键技术之一。在EDA工程发展到一定程度之后,板上电子系统设计过渡到片上系统设计,进入了现代电子设计阶段。许多电路功能、微机械元件都能在一枚芯片上实现。片上系统的设计理念不仅是支撑载体的变化,不仅是电子产品的小型化、微型化,它还带来了SOC设计方法学的发展。把仿生学原理引入SOC设计,遗传算法、视觉原理、硬件进化等设计理论的引入,使SOC片上系统具有了系统自修复、自生长能力,使得SOC片上系统更加智能化,容错能力更强。 片上系统设计反过来又影响着板上系统设计。在EDA设计过程中,在印刷电路 34 板(PCB)设计之前,要进行系统集成设计,把许多电路功能设计在一片或数片集成电路芯片之中,再进行PCB设计。所以,PCB设计和集成电路设计两者之间的界限正在模糊,正逐步融为一体。未来的电子产品正逐步统一化,外观上产品A和产品B没有什么区分。功能不同的电子系统,仅仅表现为内部的VHDL程序的不同。 电子设计技术的发展,在EDA工程设计阶段,现代电子设计流程发生了较大的变化。在经典电子设计阶段,先设计硬件,后设计软件。如果有问题,重复以上过程。在现代电子设计过程中,硬件设计和软件设计可以同步进行,电子系统的硬件功能和软件功能的划分、调度是EDA工程研究的分支领域之一,这就是软、硬件协同设计的概念。 集成电路设计实现的途径有3条,有两条适合小批量、多用途芯片的实现,另一条适合大规模工业化生产。 集成电路实现途径之一——实验室集成电路生成方法。掌握这种方法有3要素:硬件描述语言、EDA工具、可编程器件。VHDL是设计语言,表达设计思想。EDA工具是设计、调试、编程的工具,涉及语言编译、功能仿真、编程配置等全部设计实现过程。可编程器件是设计实现的载体,根据存储介质的不同,有EPROM、SRAM和FLASH 3种类型。根据编程次数分为一次可编程和重复可编程两种。可编程器件容量大、密度高,是科学实验、小批量生产、样机研制的最佳选择。 集成电路实现途径之二——多项目晶圆(MPW)。这种方法是:多个设计项目小组设计的集成电路版图,在一块晶圆上实现。许多发达国家利用这种方法资助高等学校、科研单位的集成电路设计项目,使得集成电路设计产业得到迅速发展,设计技术迅速进步。近几年来,我国也开展了MPW项目,许多教师、学生的设计项目也能用这种方法流片,大大推进了我国集成电路设计事业的发展。 集成电路实现途径之三——设计项目经过集成电路前端设计、后端设计,仿真验证后的版图,寻找代工厂进行工业化大规模生产。我国集成电路生产线有多条,代工厂有多家,为集成电路设计企业进行大批量工业化生产提供了充足的条件。 信息产业对国民经济的推动,集成电路设计对国家安全的影响是十分重要的。国家兴亡,匹夫有责。现代战争靠的就是现代电子设计技术。每一个电子学科的学生,每一个信息产业的设计人员,都应该为了我们国家的安全而努力学好现代电子设计的理论与技术。 5.3 2ASK调制原理图、调制仿真图 在QuartusII中输入ASK调制VHDL程序后,通过执行RTL Viewer菜单命令后可以观察到设计生成的RTL试图,即2ASK调制原理图,如图5-2图: 35 图5-2 2ASK调制原理图 即RTL视图 仿真图及注释分别如图5-3.5-4所示。 图5-3 2ASK调制仿真全图 注:a.基带码长等于载波f的6个周期。b. 输出的调制信号y滞后于输入基带信号x一个clk时间。 5-4 2ASK调制仿真局部放大图 5.4 2ASK解调原理图、解调仿真图 在QuartusII中输入ASK解调VHDL程序后,通过执行RTL Viewer菜单命令后 36 可以观察到设计生成的RTL试图,即2ASK解调原理图,如图5-5图: 图5-5 2ASK解调原理图 即RTL视图 ASK程序解调仿真图及注释分别如图5-6.5-7所示。 5-6 2ASK解调仿真全图 注:a.在q=11时,m清零。b.在q=10时,根据m的大小,进行对输出基带信号y的电平的判决。c.在q为其它时,m计xx(x信号的寄存器)的脉冲数。d. 输出的基带信号y滞后输入的调制信号x 10个clk。 5-7 2ASK解调仿真局部放大图 37 6、 结论 经过Quatus II软件测试下载的程序后,FPGA将二进制数字基带信号成功进行振幅键控调制和解调。振幅键控调制和解调在通信电路中广泛使用,应用FPGA完成振幅键控调制解调,具有通用性和实用性,用VHDL程序完成电路的软件设计。 2009年4月中旬,我开始了我的毕业论文设计工作,时至今日,论文基本完成。从最初的茫然,到慢慢的进入状态,再到对思路逐渐的清晰,整个设计过程难以用语言来表达。历经了近两个月的奋战,紧张而又充实的毕业设计终于落下了帷幕。回想这段日子的经历和感受,我感慨万千,在这次毕业设计的过程中,我拥有了无数难忘的回忆和收获。 2008年12月初,我的题目定了下来,是基于FPGA通信系统部分电路的设计,我选择了做2ASK振幅键控的调制与解调器。2009年4月中旬开题报告定了下来,我当时便立刻着手资料的收集,当时面对浩瀚的书海真是有些茫然,不知如何下手。在搜集资料的过程中,我认真准备了一个笔记本。我在学校图书馆搜集资料,还在网上查找各类相关资料,将这些宝贵的资料全部记在笔记本上,尽量使我的资料完整、精确、数量多,这有利于论文的撰写。 经过一个星期的搜集,资料已经查找完毕了,接着我就开始着手电路的设计。在设计过程中遇到困难我就及时和导师联系,并和同学互相交流,请教专业课老师。最初因为我对这个题目的理解出现了偏差,思路比较乱,让我迷茫了好一阵子,再加上FPGA这门课我专业没有开设,之前对它的了解也不多,当时心里很是着急,最后在李老师的耐心讲解下,困难一个一个解决掉,我的思路也逐步打开,设计也慢慢成型。4月末开始进行相关电路的设计和仿真工作。为了搞明白本设计的原理和重点,我仔细学习了FPGA、VHDL语言算法和QuartusII软件的操作。在设计电路初期,由于没有设计经验,觉得无从下手,不知道应该选哪个电路,经过导师的指导,我的设计渐渐有了头绪,通过查阅资料,逐渐确立系统方案。方案中调制与解调的建模设计是个比较头疼的问题,最终我完成了建模并应用软件仿真出来了结果, 这次毕业论文的制作过程是我的一次再学习,再虽然很累,我觉得这一切很值得。 提高的过程。在论文中我充分地运用了大学期间所学到相关的知识。 我不会忘记这难忘的时间。毕业论文给了我难忘的回忆。在我徜徉书海查找资料的日子里,面对无数书本的罗列,最难忘的是每次找到资料时的激动和兴奋;亲手设计电路图的时间里,记忆最深的是每一步小小思路实现时那幸福的心情;为了论文我曾赶稿到深夜,但看着亲手打出的一字一句,心里只有喜悦毫无疲惫。在整个过程中,我学到了新知识,增长了见识。在今后的日子里,我仍然要不断地充实自己,争取在所学领域有所作为。 脚踏实地,认真严谨,实事求是的学习态度,不怕困难、坚持不懈、吃苦耐劳 38 的精神是我在这次设计中最大的收益。我想这是一次意志的磨练,是对我实际能力的一次提升,也会对我未来的学习和工作有很大的帮助。在这次毕业设计中也使我们的同学关系更进一步了,同学之间互相帮助,有什么不懂的大家在一起商量,听听不同的看法对我们更好的理解知识,所以在这里非常感谢帮助我的同学。在此更要感谢我的导师,是她的细心指导和关怀,使我能够顺利的完成毕业论文。在我的学业和论文的研究工作中无不倾注着老师们辛勤的汗水和心血。老师的严谨治学态度、渊博的知识、无私的奉献精神使我深受启迪。从尊敬的导师身上,我不仅学到了扎实、宽广的专业知识,也学到了做人的道理。在此我要向我的导师致以最衷心的感谢和深深的敬意。 7、 致谢 经过近两个月的时间,在老师和同学的帮助之下我比较顺利的完成了这次毕业设计。在毕业设计期间我遇到了很多棘手的问题,有些问题经过自己查阅相关资料可以解决,但有些问题自己实在解决不了。我经常和同学一起探讨这些自己解决不了的问题,往往在讨论中很多新的思路、想法就会浮现出来,这非常有利于问题的解决。我非常感谢我的同学们给与我的帮助与支持。 在这里我要特别感谢我的指导老师李老师。我的毕业设计中凝结着李老师的很多心血。在我接到这个题目后的很长一段时间内,我对题目的理解一直很混乱,没有头绪。在李老师耐心的梳理下,我头脑中逐渐有了思路。心里明晰多了。这样按照设计思路,各个击破,从选题到程序设计再到软件仿真得出正确的结果,很顺利。在这期间,李老师还给了我一些重要资料让我参考,资料中有些具体的设计实例,讲得非常好,我都认真的阅读过,再进行实际的操作仿真。给了我很大的启发与帮助。非常感谢李老师的帮助。 再次感谢我的老师与同学,谢谢你们。 8、 参考文献 1. 通信原理教程 樊昌信编著 电子工业出版社 2. 可编程逻辑器件开发软件 郑亚民编著 国防工业出版社 3. 基于QuartusII的FPGA数字系统设计实例 周润景编著 电子工业出版社 4. FPGA常用模块与综合系统设计实例精讲 罗苑棠编著 电子工业出版社 5. 数字逻辑EDA的设计与实践 刘昌华编著 国防工业出版社 6. 基于FPGA的系统设计 闫敬文编著 机械工业出版社 整体结构需要调整一下,把FPGA的发展一部分往前放, 重点是文章中的公式 39 不要粘帖,要自己写出来,图形能自己画就自己,仿真也是,你先改吧。有什么不 明白的再联系。 40
本文档为【432676$manbuweixiao$基于FPGA的振幅键控调制器与解调器的设计与实现】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_105949
暂无简介~
格式:doc
大小:593KB
软件:Word
页数:57
分类:
上传时间:2018-03-15
浏览量:16