首页 adc0808中文资料[整理]

adc0808中文资料[整理]

举报
开通vip

adc0808中文资料[整理]adc0808中文资料[整理] 11.2.4 典型的集成ADC芯片 为了满足多种需要,目前国内外各半导体器件生产厂家设计并生产出了多种多样的ADC芯片。仅美国AD公司的ADC产品就有几十个系列、近百种型号之多。从性能上讲,它们有的精度高、速度快,有的则价格低廉。从功能上讲,有的不仅具有A/D转换的基本功能,还包括内部放大器和三态输出锁存器;有的甚至还包括多路开关、采样保持器等,已发展为一个单片的小型数据采集系统。 尽管ADC芯片的品种、型号很多,其内部功能强弱、转换速度快慢、转换精度高低有很大差别,但从用户最关心...

adc0808中文资料[整理]
adc0808中文资料[整理] 11.2.4 典型的集成ADC芯片 为了满足多种需要,目前国内外各半导体器件生产厂家设计并生产出了多种多样的ADC芯片。仅美国AD公司的ADC产品就有几十个系列、近百种型号之多。从性能上讲,它们有的精度高、速度快,有的则价格低廉。从功能上讲,有的不仅具有A/D转换的基本功能,还包括内部放大器和三态输出锁存器;有的甚至还包括多路开关、采样保持器等,已发展为一个单片的小型数据采集系统。 尽管ADC芯片的品种、型号很多,其内部功能强弱、转换速度快慢、转换精度高低有很大差别,但从用户最关心的外特性看,无论哪种芯片,都必不可少地要包括以下四种基本信号引脚端:模拟信号输入端(单极性或双极性);数字量输出端(并行或串行);转换启动信号输入端;转换结束信号输出端。除此之外,各种不同型号的芯片可能还会有一些其他各不相同的控制信号端。选用ADC芯片时,除了必须考虑各种技术要求外,通常还需了解芯片以下两方面的特性。 (1)数字输出的方式是否有可控三态输出。有可控三态输出的ADC芯片允许输出线与微机系统的数据总线直接相连,并在转换结束 RD后利用读数信号选通三态门,将转换结果送上总线。没有可控三态输出(包括内部根本没有输出三态门和虽有三态门、但外部不可控两种情况)的ADC芯片则不允许数据输出线与系统的数据总线直接相连,而必须通过I/O接口与MPU交换信息。 (2)启动转换的控制方式是脉冲控制式还是电平控制式。对脉冲启动转换的ADC芯片,只要在其启动转换引脚上施加一个宽度符合芯片要求的脉冲信号,就能启动转换并自动完成。一般能和MPU配套使用的芯片,MPU的I/O写脉冲都能满足ADC芯片对启动脉冲的要求。对电平启动转换的ADC芯片,在转换过程中启动信号必须保持规定的 电平不变,否则,如中途撤消规定的电平,就会停止转换而可能得到错误的结果。为此,必须用D触发器或可编程并行I/O接口芯片的某一位来锁存这个电平,或用单稳等电路来对启动信号进行定时变换。 具有上述两种数字输出方式和两种启动转换控制方式的ADC芯片都不少,在实际使用芯片时要特别注意看清芯片 说明 关于失联党员情况说明岗位说明总经理岗位说明书会计岗位说明书行政主管岗位说明书 。下面介绍两种常用芯片的性能和使用方法。 1. ADC 0808/0809 ADC 0808和ADC 0809除精度略有差别外(前者精度为8位、后者精度为7位),其余各方面完全相同。它们都是CMOS器件,不仅包括一个8位的逐次逼近型的ADC部分,而且还提供一个8通道的模拟多路开关和通道寻址逻辑,因而有理由把它作为简单的“数据采集系统”。利用它可直接输入8个单端的模拟信号分时进行A/D转换,在多点巡回 检测 工程第三方检测合同工程防雷检测合同植筋拉拔检测方案传感器技术课后答案检测机构通用要求培训 和过程控制、运动控制中应用十分广泛。 1) 主要技术指标和特性 (1)分辨率: 8位。 1 2(2)总的不可调误差: ADC0808为?LSB,ADC 0809为?1LSB。 (3)转换时间: 取决于芯片时钟频率,如CLK=500kHz时,T=128μs。 CONV (4)单一电源: +5V。 (5)模拟输入电压范围: 单极性0,5V;双极性?5V,?10V(需外加一定电路)。 (6)具有可控三态输出缓存器。 (7)启动转换控制为脉冲式(正脉冲),上升沿使所有内部寄存器清零,下降沿使A/D转换开始。 (8)使用时不需进行零点和满刻度调节。 2) 内部结构和外部引脚 ADC0808/0809的内部结构和外部引脚分别如图11.19和图11.20所示。内部各部分的作用和工作原理在内部结构图中已一目了然,在此就不再赘述,下面仅对各引脚定义分述如下: 图11.19 ADC0808/0809内部结构框图 (1)IN,IN——8路模拟输入,通过3根地址译码线ADD、ADD、07ABADD来选通一路。 C (2)D,D——A/D转换后的数据输出端,为三态可控输出,故70 可直接和微处理器数据线连接。8位排列顺序是D为最高位,D为最70低位。 (3)ADD、ADD、ADD——模拟通道选择地址信号,ADD为低位,ABCAADD为高位。地址信号与选中通道对应关系如表11.3所示。C (4)V(+)、V(-)——正、负参考电压输入端,用于提供片内RR DAC电阻网络的基准电压。在单极性输入时,V(+)=5V,V(-)=0V;RR双极性输入时,V(+)、V(-)分别接正、负极性的参考电压。RR 图11.20 ADC0808/0809外部引脚图 表11.3 地址信号与选中通道的关系 地 址 选中 通道 ADD ADD ADD CBA 0 0 0 IN0 0 0 1 IN 1 0 1 0 IN 2 0 1 1 IN 3 1 0 0 IN 4 1 0 1 IN 5 1 1 0 IN 6 1 1 1 IN 7 (5)ALE——地址锁存允许信号,高电平有效。当此信号有 效时,A、B、C三位地址信号被锁存,译码选通对应模拟通道。 在使用时,该信号常和START信号连在一起,以便同时锁存通道 地址和启动A/D转换。 (6)START——A/D转换启动信号,正脉冲有效。加于该端的脉冲的上升沿使逐次逼近寄存器清零,下降沿开始A/D转换。如正在进行转换时又接到新的启动脉冲,则原来的转换进程被中止,重新从头开始转换。 (7)EOC——转换结束信号,高电平有效。该信号在A/D转换过程中为低电平,其余时间为高电平。该信号可作为被CPU查询的状态信号,也可作为对CPU的中断请求信号。在需要对某个模拟量不断采样、转换的情况下,EOC也可作为启动信号反馈接到START端,但在刚加电时需由外电路第一次启动。 (8)OE——输出允许信号,高电平有效。当微处理器送出该信号时,ADC0808/0809的输出三态门被打开,使转换结果通过数据总线被读走。在中断工作方式下,该信号往往是CPU发出的中断请求响应信号。 3) 工作时序与使用说明 ADC 0808/0809的工作时序如图11.21所示。当通道选择地址有效时,ALE信号一出现,地址便马上被锁存,这时转换启动信号紧随ALE之后(或与ALE同时)出现。START的上升沿将逐次逼近寄存器SAR复位,在该上升沿之后的2μs加8个时钟周期内(不定),EOC信号 将变低电平,以指示转换操作正在进行中,直到转换完成后EOC再变高电平。微处理器收到变为高电平的EOC信号后,便立即送出OE信号,打开三态门,读取转换结果。 图11.21 ADC 0808/0809工作时序 模拟输入通道的选择可以相对于转换开始操作独立地进行(当然,不能在转换过程中进行),然而通常是把通道选择和启动转换结合起来完成(因为ADC0808/0809的时间特性允许这样做)。这样可以用一条写指令既选择模拟通道又启动转换。在与微机接口时,输入通道的选择可有两种方法,一种是通过地址总线选择,一种是通过数据总线选择。 如用EOC信号去产生中断请求,要特别注意EOC的变低相对于启动信号有2μs+8个时钟周期的延迟,要设法使它不致产生虚假的中断请求。为此,最好利用EOC上升沿产生中断请求,而不是靠高电平产生中断请求。 2. AD574A AD574A是美国AD公司的产品,是目前国际市场上较先进的、价格低廉、应用较广的混合集成12位逐次逼近式ADC芯片。它分6个等级,即AD574AJ、AK、AL、AS、AT、AU,前三种使用温度范围为0,+70?,后三种为-55,+125?。它们除线性度及其他某些特性因等级不同而异外,主要性能指标和工作特点是相同的。 1) 主要技术指标和特性 1 2(1)非线性误差: ?1LSB或?LSB(因等级不同而异)。 (2)电压输入范围: 单极性0,+10V,0,+20V,双极性?5V,?10V。 (3)转换时间: 35μs。 (4)供电电源: +5V,?15V。 (5)启动转换方式: 由多个信号联合控制,属脉冲式。 (6)输出方式: 具有多路方式的可控三态输出缓存器。 (7)无需外加时钟。 (8)片内有基准电压源。可外加VR,也可通过将VO(R)与Vi(R)相连而自己提供VR。内部提供的VR为(10.00?0.1)V(max),可供外部使用,其最大输出电流为1.5mA; (9)可进行12位或8位转换。12位输出可一次完成,也可两次完成(先高8位,后低4位)。 2) 内部结构与引脚功能 AD574A的内部结构与外部引脚如图11.22所示。从图可见,它由两片大规模集成电路混合而成: 一片为以D/A转换器AD565和10V基准源为主的模拟片,一片为集成了逐次逼近寄存器SAR和转换控制电路、时钟电路、三态输出缓冲器电路和高分辨率比较器的数字片,其中12位三态输出缓冲器分成独立的A、B、C三段,每段4位,目 的是便于与各种字长微处理器的数据总线直接相连。AD574A为28引脚双列直插式封装,各引脚信号的功能定义分述如下: 图11.22 AD574A的结构框图与引脚 8(1)12/——输出数据方式选择。当接高电平时,输出数据是12位字长;当接低电平时,是将转换输出的数变成两个8位字输出。 (2)A——转换数据长度选择。当A为低电平时,进行12位转00 换;A为高电平时,则为8位长度的转换。 0 CS(3)——片选信号。 C(4)R/——读或转换选择。当为高电平时,可将转换后数据读出;当为低电平时,启动转换。 (5)CE——芯片允许信号,用来控制转换与读操作。只有当它 CSCSC为高电平时,并且=0时,R/信号的控制才起作用。CE和、R/、 812/、A信号配合进行转换和读操作的控制真值表如表11.4所示。0 (6)V——正电源,电压范围为0,+16.5V。 CC (7)V(R)——+10V参考电压输出端,具有1.5mA的带负载能力。o 表11.4 AD574A的转换和读操作控制真值表 C 操作 内容 财务内部控制制度的内容财务内部控制制度的内容人员招聘与配置的内容项目成本控制的内容消防安全演练内容 12 A0CSR/C 8E / 0 × × × × 无操作 × 1 × × × 无操作 1 0 0 × 0 启动一次12位转1 0 0 × 1 换 1 0 1 +5× 启动一次8位转换 1 0 1 V 0 并行读出12位 1 0 1 DG1 读出高8位(A段和 ND B段) DG读出C段低4位, ND 并自动后跟4个0 (8)AGND——模拟地。 (9)GND——数字地。 (10)Vi(R)——参考电压输入端。 (11)V——负电源,可选加-11.4V,-16.5V之间的电压。EE (12)BIP OFF——双极性偏移端,用于极性控制。单极性输入 时接模拟地(AGND),双极性输入时接V(R)端。 o (13)V(10)——单极性0,,10V范围输入端,双极性?5V范i 围输入端。 (14)V(20)——单极性0,,20V范围输入端,双极性?10V范i 围输入端。 (15)STS——转换状态输出端,只在转换进行过程中呈现高电平,转换一结束立即返回到低电平。可用查询方式检测此端电平变化,来判断转换是否结束,也可利用它的负跳变沿来触发一个触发器产生IRQ信号,在中断服务程序中读取转换后的有效数据。 从转换被启动并使STS变高电平一直到转换周期完成这一段时间内,AD574A对再来的启动信号不予理睬,转换进行期间也不能从输出数据缓冲器读取数据。 3) 工作时序 AD574A的工作时序如图11.23所示。对其启动转换和转换结束后读数据两个过程分别说明如下: 图11.23 AD574A的工作时序 (1)启动转换 CSCS在=0和CE=1时,才能启动转换。由于是=0和CE=1相与后,才能启动A/D转换,因此实际上这两者中哪一个信号后出现,就认为是该信号启动了转换。无论用哪一个启动转换,都应使R/C信号超前其200ns时间变低电平。从图11.23可看出,是由CE启动转换的,当R/为低电平时,启动后才是转换,否则将成为读数据操作。在转换期间STS为高电平,转换完成时变低电平。 (2)读转换数据 CSR/C8在=0和CE=1且为高电平时,才能读数据,由12/决定 CS是12位并行读出,还是两次读出。如图11.23所示,或CE信号均可用作允许输出信号,看哪一个后出现,图中为CE信号后出现。 R/C规定A0要超前于读信号至少150ns,信号超前于CE信号最小可到零。 从表11.4和图11.23可看出,AD574A还能以一种单独控制 CS8(stand-alone)方式工作: CE和12/固定接高电平,和A固定接0 R/CR/CR/C地,只用来控制转换和读数,=0时启动12位转换,=1时并行读出12位数。具体实现办法可有两种: 正脉冲控制和负脉冲 R/C控制。当使用350ns以上的正脉冲控制时,有脉冲期间开启三态 R/C缓冲器读数,脉冲后沿(下降沿)启动转换。当使用400ns以上的负脉冲控制时,则前沿启动转换,脉冲结束后读数。 4) 使用方法 AD574A有单极性和双极性两种模拟输入方式。 (1)单极性输入的接线和校准 单极性输入的接线如图11.24(a)所示。AD574A在单极性方式下,有两种额定的模拟输入范围: 0,+10V的输入接在V(10)和AGND间,i 0,+20V输入接在V(20)和AGND间。R用于偏移调整(如不需进行调i1 整可把BIP OFF直接接AGND,省去外加的调整电路),R用于满量程2调整(如不需调整,R可用一个50Ω?1%的金属膜固定电阻代替)。为2 11 22使量化误差为?LSB,AD574A的额定偏移规定为LSB。因此在作偏 1 2移调整时,使输入电压为LSB(满量程电压为+10V时是1.22mV),调R,使数字输出为000000000000到000000000001的跳变。在做满量1 1 2程调整时,是通过施加一个低于满量程值1LSB的模拟信号进行的,这时调R以得到从111111111110到111111111111的跳变点。2 (2)双极性输入的接线和校准 双极性输入的接线如图11.24(b)所示。和单极性输入时一样,双极性时也有两种额定的模拟输入范围: ?5V和?10V。?5V输入接在V(10)和AGND之间;?10V接在V(20)和AGND之间。ii 图11.24 AD574A的输入接线图 双极性校准也类似于单极性校准。调整方法是,先施加一个高于 1 2负满量程LSB(对于?5V范围为-4.9988V)的输入电压,调R,使输1 出出现从000000000000到000000000001的跳变;再施加一个低于正 1 2满量程1LSB(对于?5V范围为+4.9963V)的输入信号,调R使输出2现从111111111110到111111111111的跳变。如偏移和增益无需调整,则相应的调整电阻也和在单极性中一样,R可用50?1%Ω的固定电阻2 代替 串行AD转换芯片与51单片机的接口电路及程序设计 AT89C51单片机系统经常使用A,D转换器。虽然并行A,D转换器速度高、转换通道多,但其价格高,占用单片机接口资源比串行A,D转换器多。工业检测控制及智能化仪器仪表中经常采用串行A,D转换器。ADS1110是一种精密、可连续自校准的串行A,D转换器,带有差分输入和高达16位的分辨率,其串行接口为I2C总线。AT89C51单片机通过软件模拟I2C总线实现与ADS1110的连接。 ADS1110的特点与内部结构 ADS1110的特点 完整的数据采集系统和小型SOT23-6封装;片内基准电压:精度2.048 V+0.05,;片内可编程增益放大器PGA;片内振荡器;16位分辨率;可编程的转换速率15次,秒,240次,秒;I2C总线接口(8个有效地址);电源电压2.7 V,5.5 V;低电流消耗240 μA。 ADS1110的引脚功能 ADS1110串行A,D转换器采用6引脚贴片封装,其引脚排列如图1所示。VDD:电源端,通常接+5V;GND:模拟地和数字地;VIN+、VIN-:采样模拟信号输入端,其范围为2.048 V,2.048 V;SCL:I2C总线时钟线;SDA:I2C总线数据线。 ADS1110的内部结构 ADS1110是由带有可调增益的?-?型转换器内核、2.048 V的电压基准、时钟振荡器和I2C总线接口组成。其内部结构如图2所示。 ADS1110的寄存器读写配置请参考:ADS110引脚功能,寄存器配置及应用电路介绍 ADS1110的A,D转换器内核是由差分开关电容?-?调节器和数字滤波器组成。调节器测量正模拟输入和负模拟输入的压差,并将其与基准电压相比较。数字滤波器接收高速数据流并输出代码,该代码是一个与输入电压成比例的数字,即A,D转换后的数据。 ADS1110片内电压基准是2.048 V。ADS1110只能采用内部电压基准该基准,不能测量,也不用于外部电路。ADS1110片内集成时钟振荡器用于驱动?-?调节器和数字滤波器。ADS1110的信号输入端设有可编程增益放大器PGA,其输入阻抗在差分输入时的典型值为2.8 MΩ。 硬件设计 由于AT89C51单片机没有I2C总线接口,可通过软件模拟实现与 I2C总线器件的连接。具体方法是将单片机的I,O接口连接至I2C的数据线SDA和时钟线SCL。通过软件控制时钟和数据传输,系统灵活性强。 图5所示是数据采集显示系统,采集工业现场的4路模拟信号并轮询显示。采用4个ADS1110作为A,D转换器,地址为ED0,ED3。具有I2C总线接口的EEPROM AT24C16作为存储器。本系统有4位LED数码显示管和4个参数设定按键。采集数据经数字滤波、16进制? 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 值转换后,送至数码管轮询显示。ADS1110和AT24C16的I2C接口连ADSl110数据线SDA至单片机的P1.0,时钟线SCL连接单片机的P1.1,上拉电阻阻值选10 kΩ。 软件设计 按照硬件电路,编写A,D转换子程序为ADS0,其中嵌套调用了START,为起始命令子程序,FSDZ1为向ADS1110发送单个字节命令的子程序,ADREAD是读取输出寄存器和配置寄存器的子程序,STOP是停止命令子程序。ADS0只对地址为ED0的ADS1110读数,如果要读取其他ADS1110,只需更改地址即可。系统中ADS1110的工作方式选用默认设置,即配置寄存器内容为#8CH,所以程序未向配置寄存器写入数据。程序代码如下: 5 结束语 ADS1110是一款高性价比具有I2C总线接口的串行A,D转换器。ADS1110已在单片机系统中应用,并用于现场。实践证明,ADS1110 和单片机组成的数据采集系统,占用I,O端口少、功耗低,适用无电源场合。但需注意的是,因I2C总线为串行扩展总线,数据采集时不能用于实时速度要求较高的场合。 TLC2543是11个输入端的12位模数转换器,具有转换快、稳定性好、与微处理器接口简单、价格低等优点,应用前景好。由于它带有串行外设接口(SPI,Seri,al PeripheralInterface),而51系列单片机没有SPI,因此研究它与51单片机的接口就非常有意义。 1 TLC2543的引脚及功能 TLC2543是12位开关电容逐次逼近模数转换器,有多种封装形式,其中DB、DW或N封装的管脚图见图1。引脚的功能简要分类说明如下。 I/OCLOCK:控制输入输出的时钟,由外部输入。 DATAINPUT:控制字输入端,用于选择转换及输出数据格式。 DATAOUT:A/D转换结果的输出端。 2 TLC2543的使用方法 2(1 控制字的格式 控制字为从DATAINPUT端串行输入的8位数据,它规定了TLC2543要转换的模拟量通道、转换后的输出数据长度、输出数据的格式。其中高4位(D7,D4)决定通道号,对于0通道至10通道,该4位分别为0000,1010H,当为1011,1101时,用于对TLC2543的自检,分别测试(V,,V,)/2、V,、V,的值,当为1110时,TLC2543REFREFREFREF 进入休眠状态。低4位决定输出数据长度及格式,其中D3、D2决定输出数据长度,01表示输出数据长度为8位,11表示输出数据长度 为16位,其他为12位。D1决定输出数据是高位先送出,还是低位先送出,为0表示高位先送出。D0决定输出数据是单极性(二进制)还是双极性(2的补码),若为单极性,该位为0,反之为1。 2(2 转换过程 上电后,片选CS必须从高到低,才能开始一次工作周期,此时EOC为高,输入数据寄存器被置为0,输出数据寄存器的内容是随机的。 开始时,CS片选为高,I/O CLOCK、DATA INPUT被禁止,DATA OUT 呈高阻状,EOC为高。使CS变低,I/OCLOCK、DATAINPUT使能,DATAOUT脱离高阻状态。12个时钟信号从I/OCLOCK端依次加入,随着时钟信号的加入,控制字从DATAINPUT一位一位地在时钟信号的上升沿时被送入TLC2543(高位先送入),同时上一周期转换的A/D数据,即输出数据寄存器中的数据从DATAOUT一位一位地移出。TLC2543收到第4个时钟信号后,通道号也已收到,此时TLC2543开始对选定通道的模拟量进行采样,并保持到第12个时钟的下降沿。在第12个时钟下降沿,EOC变低,开始对本次采样的模拟量进行A/D转换,转换时间约需10μs,转换完成后EOC变高,转换的数据在输出数据寄存器中,待下一个工作周期输出。此后,可以进行新的工作周期。 3 TLC2543与单片机的接口和采集程序 目前使用的51系列单片机没有SPI接口,为了与TLC2543接口,可以用软件功能来实现SPI的功能,其硬件接口如图2所示。本示例采用延时进行采集,故省去了EOC引脚的接口。 下面是采用C51编写的A/D转换程序。其中port是待采集的模拟量通道号,ad_data是采样值。delay()是延时函数,大约为20微秒。 模拟滤波器可以分为无源和有源滤波器。 无源滤波器:这种电路主要有无源元件R、L和C组成。 有源滤波器:集成运放和R、C组成,具有不用电感、体积小、重量轻等优点。集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。 有源滤波自身就是谐波源。其依靠电力电子装置,在检测到系统谐波的同时产生一组和系统幅值相等,相位相反的谐波向量,这样可以抵消掉系统谐波,使其成为正弦波形。有源滤波除了滤除谐波外,同时还可以动态补偿无功功率。其优点是反映动作迅速,滤除谐波可达到95,以上,补偿无功细致。缺点为价格高,容量小。由于目前国际上大容量硅阀技术还不成熟,所以当前常见的有源滤波容量不超过600kvar。其运行可靠性也不及无源。 一般无源滤波指通过电感和电容的匹配对某次谐波并联低阻(调谐滤波)状态,给某次谐波电流构成一个低阻态通路。这样谐波电流就不会流入系统。无源滤波的优点为成本低,运行稳定,技术相对成熟,容量大。缺点为谐波滤除率一般只有80,,对基波的无功补偿也是一定的。 目前在容量大且要求补偿细致的地方一般使用有源加无源混合型,即无源进行大容量的滤波补偿,有源进行微调。 原理上讲,有源滤波器可以达到很高的Q值,但是过高的Q值对于有源滤波器来说是不够稳定的。有源滤波器的特性曲线不够好,有可能是你使用的运放带宽不够。从原理上,无论有源无源,实现出来的特性应该是一致的。主要还是一个制作问 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 。 你的说法有基本概念问题。不能说你的二阶低通滤波器的相应没有巴特沃思的相应好~因为你的滤波器就是根据巴特沃思原形设计的~你的楼下那位大虾说的很对。无论是无源还是有源滤波器,都是基于同样的原形,从滤波特性本身来讲都是一样的。两者的差别不在这里。你还是应该在电路上寻找原因。 无源RC滤波器当然不能等同于有源RC滤波器,有源RC和无源LC可以实现出Bottworth函数,而用无源RC实现这个函数是很不理想的,它的最低衰耗值极高(此点鲜为人知)。所以一般不用无源RC函数作滤波器逼近函数。 不仅如此,而且经过计算,无源低通二阶滤波器的品质因数非常的低,最高能达到0.5,但是这个还不是所有的频率都能够达到的。 滤波器是一种能使有用信号顺利通过而同时对无用频率信号进行抑制(或衰减)的电子装置。工程上常用它来做信号处理、数据传送和抑制干扰等。以往主要采用无源元件R、L和C组成模拟滤波器,六十年代以来,集成运放获得了迅速地发展,由它和R、C组成的源滤波器,具有不用电感、体积小、重量轻等 优点。此外,由于集成运放的开环电压增益和输入阻抗均很高,而输出阻抗又很低,而且,由其构成的有源滤波器还具且一定的电压放大和缓冲作用。因此,基于放大器和R、C构成的有源滤波器应用日益广泛。随着微电子学的发展,人们已经可以把一些电阻和电容与运放集成在一块芯片上构成通用有源滤波器(Universal Active Filter,UAF)。这种芯片集成度高,片内集成了设计滤波器所需的电阻和电容,在应用中只需极少数外部器件就可以很方便地构成一个源滤波器。BB(Burr-Brown)公司的UAF42就是这一类通用有源滤波器的代表。它可广泛应用于高通、低通和带通滤波器设计中。它采用典型的状态可调 (state-variable)模拟结构,内部集成了一个反向放大器和两个积分器。该积器包括1000μF(?5%)的电容。因此较好的解决了有源波器设计中获得低损耗(low-loss)电容的问题。
本文档为【adc0808中文资料[整理]】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_594886
暂无简介~
格式:doc
大小:201KB
软件:Word
页数:20
分类:企业经营
上传时间:2017-10-19
浏览量:78