首页 数电4人抢答器课程设计

数电4人抢答器课程设计

举报
开通vip

数电4人抢答器课程设计数电4人抢答器课程设计 四人智力竞赛抢答器 一、设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2、设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (...

数电4人抢答器课程设计
数电4人抢答器课程设计 四人智力竞赛抢答器 一、设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2、设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H的脉冲信号,作为定时计数z 器的CP信号。 三、四人智力竞赛抢答器电路原理及设计 1、设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 抢答器具有锁存、定时、显示和报警功能。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用LED数码管把选手的编码显示出来,并且开始抢答时间的倒计时,同时用LED数码管把选手的所剩抢答时间显示出来。而在选手按键抢答以及抢答时间倒计时到时的时候都有报警以提醒主持人和选手。抢答时间设定9秒,报警响声持续1秒。接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁 存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。 2、系统框图 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1. 抢答按钮 触发器 译码电路 锁存电路 主持人控控制电路 报警电路 显示电路 制开关 秒脉冲产定时电路 译码电路 显示电路 生电路 图1系统框图 3、方案比较 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用D触发器和译码器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。 4、单元电路设计及元器件选择 (1)抢答电路 电路如图2所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁定74LS175的功能真值表即优先抢答者的编号,同时译码显示电路显示选手编号;二是要使其他选手随后的按键操作无效。 图2 抢答电路 其工作原理为:当主持人控制开关处于“清除”时,D触发器的清零端为低电平,使D触发器被强制清零,输入的抢答信号无效。当主持人将开关拨到“开始”时,D触发器Q非端前一状态为高电平,四个Q非端与在一起为高电平,再和抢答按键信号和借位信号与在一起给D触发器的脉冲端,当没人抢答时,抢答信号为低电平,与门U11输出端为低电平给D触发器脉冲端,当一有人抢答时,抢答信号为高电平,并和U2的输出信号和借位信号与在一起,使得U11输出端为高电平给D触发器,于是D触发器就有一个上升沿,使得抢答信号经D触发器触发锁存再经过译码器74ls48译码,把相应的信号显示在数码管上。另外,当选手松开按键后,D触发器的Q非前一状态为低电平,与在一起后给与门U11,使得U11的输出端为低电平给D触发器,则D触发器的脉冲输入端恢复原来状态,从而使得其他选手按键的输入信号不会被接收。这就保证了抢答者的优先性及抢答电路的准确性。当选手回答完毕,主持人控制开关S是抢答电路复位,以便进行下一轮抢答。 (2)定时电路 节目主持人通过按复位键来进行抢答倒计时。如图3。定时9秒,把74LS192 对应的9,10,1,15四个端子预置为“1001”。计数器的时钟脉冲由秒脉冲电路555提供。当复位开关按下时,给74ls192一个低电平,从而开始倒计时,每来一个脉冲信号进行减计数一次。当有选手抢答或借位信号时,就使得74ls192的输入脉冲变成低电平,从而实现倒计时的停止。再按复位键时,再一次倒计时。 图3 定时电路 (3)报警电路 由74ls121和蜂鸣器构成的报警电路,但仿真中没有74ls121,因此用功能相同的MONOSTABLE VIRTUAL(后文简称A1)来代替仿真,如图4所示。其中A1的脉冲输入端是由复位信号和Q非的与信号和借位信号与在一起来提供的。当其中一个信号为低电平时,使得A1得到一个下降沿脉冲,从而使A1的Q端输出一个宽度为一秒的高电平,那么蜂鸣器就会响一秒。反之,电路停振,蜂鸣器不响。 图4 报警电路 (4)时序控制电路 时序控制电路是抢答器设计的关键,它要完成以下三项功能: 1)主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。 图5 抢答与定时时序控制电路 2)当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。 3)当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。 根据上面的功能要求,设计的时序控制电路如图5所示。 图5中,U2与门74ls21作为抢答的控制信号;U12与门74ls11和U13与门74ls21的作用是控制时钟信号CP的放行与禁止;U11与门74ls11的作用是控制74LS175的输人脉端,U10的作用是控制74ls121的输入脉冲端。工作原理是:主持人控制开关从“清除”位置拨 ~到“开始”位置时,74LS175的输出 Q=1,U2输出为1,借位信号为1,则U12输出为1,使U13输出为1,则时钟信号CP能够加到74LS192的时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为1,U11和U13的输出为1,使 74LS175和74ls192处于正常工作状态,从而实现功能(1)的要求。当选手在定时时间内按动抢答键 ~时,Q,0,U2输出为0,封锁 CP信号,则U12输出为0,使U13输出为0,定时器停止倒计时处于保持工作状态;同时,U11的输出为1使D触发器触发而输出信号,也使U10输出 为0,给了74ls121一个上升沿,使74LS121处于工作状态给蜂鸣器一个一秒的高电平,从 而实现功能(2)的要求。当定时时间到时,则“定时到信号”为0,U10输出为0,有给了74ls121一个下降沿,使74LS121处于工作状态给蜂鸣器一个一秒的高电平,禁止选手进行 抢答。同时,U13输出为0,封锁 CP信号,使定时电路保持0状态不变,从而实现功能(3)的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。 (5)元器件列表 表1 序号 器件名称 数量 备注 1 74LS175 1 D触发器 2 74LS192 1 同步减法计数器 3 555定时器 1 连接成多谐振荡与秒 时钟脉冲 4 74LS21 1 四脚与门 5 74LS11 1 三脚门 6 74LS48 2 译码器 7 74LS121 1 单稳态振荡器 8 开关按纽S 5 9 扬声器 1 10 BCD七段显2 共阴极 示器 11 电容 3 12 电阻 9 13 二极管 6 5、四路抢答器总电路图 图6 总电路图 四、成品调试 把电路焊好后,首先是对照电路图逐一检查电路有没有漏焊,经检查发现有几个管脚没接,于是细心地接上。然后用万用表逐一检查有没有虚焊或线路断路或线路短路,但没发现有虚焊等问题。下一步就接通电源调试,但电路完全没反应,然后用电源逐个模块进行检查,发现有些管脚还没接上,于是在对照电路图细心地检查,改进后再进行接电调试,先测试脉冲的输出,正常,如图9和图10。但下一步发现倒计时是从“6”开始,然后查找74ls192的相关资料才知道其输出端不只是数字“9”才有“1001”出现,在“6”的时候也是这样(我把“1001”两边的“1”用或门或在一起作为反馈信号),所以修改电路图把74ls192的反馈信号改接到其借位信号输出端,再进行调试,这次欣喜地发现功能已经实现了,最后完善一下电路,宣告成品大功告成。 图9 555输出脉冲 图10 74ls121 输出脉冲 五、设计过程中的问题和解决 办法 鲁班奖评选办法下载鲁班奖评选办法下载鲁班奖评选办法下载企业年金办法下载企业年金办法下载 (1)在设计方案时,遇到了信号不能锁存的问题。经过查找资料,了解如何通过D触发器的输出信号来反馈回D触发器的脉冲端来进行锁存。 (2)在仿真时遇到了555不能仿真和没仿真元件74ls121的问题。通过翻阅书本了解555如何准确使用和上网搜索74ls121的代替元件来解决。 (3)当焊完电路调试时,数码管没显示。于是对照电路图来逐一检查,发现有些管脚没接上。例如数码管的接地端没接地、74ls192的CLR脚没接地等等。 六、设计成品的优点与不足 优点:元器件较少,功能满足要求 不足: (1)在设计时,用了D触发器来进行抢答信号的筛选和锁存,在同时按下S0和S1时(其同时按下的几率较小,其可精确到微秒甚至纳秒级,但情况还是会存在的)会显示“3”,而按S2时也会显示“3”,所以显示“3”的几率大一点,但几率很小。 改良:可改用编码器和锁存器来代替D触发器。 (2)电路跳线较多,使得电路板外观不好。 改良:焊线路前应细心考虑元件的排布和线路的连接。 七、 心得 信息技术培训心得 下载关于七一讲话心得体会关于国企改革心得体会关于使用希沃白板的心得体会国培计划培训心得体会 体会 这一课程设计使我们将课堂上的理论知识有了进步的了解,并增强了对数字电子技术这门课程的兴趣。了解了更多电子元件的工作原理,如:74LS121、74LS48、74ls192等。但同时也暴露出我在知识上掌握不足等缺点。其次在此次设计过程中由于我们频繁的使用一电子设计软件如:Multisim等,因此使我熟悉了软件的使用,同时在电脑的电子设计和绘图操作上有了进一步提高。加上在设计过程中遇到了一些问题,使得我得查找相关资料,从而增长知识的同时增强解决问题和动手的能力,锻炼我做事细心、用心、耐心的能耐。这一课程设计,使我向更高的精神和知识层次迈向一大步。所以在以后的学习生活中,我会努力学习,培养自己独立思考的能力,积极参加多种设计活动,培养自己的综合能力,从而使得自己成为一个有综合能力的人才而更加适应社会。 四人智力竞赛抢答器课程设计 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H的脉冲信号,作为定时计数z 器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时, 利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时, 利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位端来将RC0锁存器的信号置零。而不是像方案一那样使用max/min端。 对以上两个方案进行比较可以发现,两个方案均能理论上实现电路的功能,但是从实际情况看,CD4042比较少见,很难买到这个芯片,而74ls175则很常见,比较容易买到。而在实际情况中,虽然74ls190的max/min端和同样RC0 是借位端,当时只有半个周期的变化,并不能将时钟信号置零,而max/minRC0 有一个周期的变化,所以用max/min比较合适。宗上所述,选择第一个方案比较合理。 2、电路流程图; 倒编数 计码码1hz脉 时器管冲电 电电显路 路 路 示 主持人按单稳 钮 门单元电路 态电 路 锁编译数 存码码码蜂鸣器选手抢器器器管电路 答 电电电显 路 路 路 示 1khz脉 冲电路 整个电路如上图所示,主要分为两部分,一个是倒计时部分,一个是抢答电路,其中抢答器电路由锁存器电路,编码器电路,译码器电路,数码管显示电路组成,其中锁存器电路可用1khz脉冲电路作为其时钟端输入脉冲,倒计时电路由倒计时芯片,编码器电路路,数码管显示电路,倒计时采样1hz的脉冲作为时钟信号输入端。单稳态电路可以控制蜂鸣器鸣叫的时间,按要求可设置为1秒钟。 3、单元电路设计; (1)、锁存器电路的设计 锁存器电路采用以74ls175为中心的锁存器系统,当4个抢答输入端中出现低电平输入时信号时,锁存器立即锁存,禁止抢答,其原本为4个高电平的输出端也变成3高一低,可以利用一个4输入与非门将其与非,再接一个非门后,可以与74ls175的时钟信号相与非,使得CLK端的输入信号为底电平,从而阻止其余选手的抢答,从而达到锁存的目的74ls175的真值表如下: 锁存器的单元电路设计如下: (2)、编码器电路的设计 编码器采样74ls148作为编码芯片,将输入的信号进行编码,然后输出2二进制码,由于74ls175为优先编码其,故需要将其未用到的高优先级的端和74ls175的输出的4与非端进行连接,避免在无人抢答时输出型号。74ls148的真值表如右图: 编码器电路如下: (3)、译码器电路和数码管显示电路的设计 抢答部分和倒计时部分的译码器均采用74ls48芯片,而数码管则选择与之相对应的7段共阴数码管搭配,为避免电路过小,可在译码器与数码管间接上拉电阻以增大电流,上拉电阻选用1k的9针排阻。译码器电路和数码管显示电路设计如下: 74ls48的真值表如下: (4)、倒计时电路的设计 倒计时电路采用74ls190作为倒计时芯片,并将其输入端置九,clk信号输入端采样1hz的信号输入,同时可利用其借位输出端MAX\MIN来控制抢答端,并且可以让电路在到零时保持。74ls190真值表如下: 倒计时单元电路如下: (5)、时钟电路的设计 在本电路中需要两种时钟脉冲,一种是给74ls175提供的1khz脉冲信号,另一种是给倒计时电路74ls190提供的1hz,根据555多谐振荡器的频率计算公式: 1f, (R,2R)Cln212 可以求得1hz的电路电阻均取47k,电容取10uf,而1khz电路的电阻取4.7k,电容取0.1uf。 (6)、单稳态电路及蜂鸣器的设计 为保证蜂鸣器鸣叫时间为一秒,可以使用单稳态触发电路来实现,单稳态电路的芯片可以选择74ls123。根据74ls123的暂稳态计算公式: 0.7T,RC,0.28(1) WTTRT 我们可以选择R为36k,C为100uf,则在误差许可范围内,鸣叫时间大约是1秒。74ls123的真值表如下: 蜂鸣器采用有源蜂鸣器,为避免 单稳态电路输出端电流不足,可以使 用一个NPN三极管来驱动蜂鸣器鸣 叫。 单稳态电路及蜂鸣器电路如下: 4、电路工作原理; 抢答电路:使用74ls175作为锁存电路,当有人抢答时, 利用锁存器的输 ,这时抢答出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 5、整体电路。(具体清晰电路请详见附件) 电路元件清单: 名称及标号 型号及大小 封装形式 数量 锁存器 74ls175 DIP16 1个 编码器 74ls148 DIP16 1个 译码器 74ls48 DIP16 2个 计数器 74ls190 DIP16 1个 4与非门 74ls20 DIP14 2个 2与非门 74ls00 DIP14 1个 非门 74ls04 DIP14 1个 556 NE556N DIP14 1个 单稳触发器 74ls123 DIP14 1个 复位开关 SW-PB SW-PB 5个 200Ω AXIAL-0.3 5个 47k AXIAL-0.3 2个 35k AXIAL-0.3 1个 电阻 4.7k AXIAL-0.3 2个 10k AXIAL-0.3 1个 10Ω AXIAL-0.3 1个 RB.3/.6 100uf 1个 RB.2/.4 10uf 一个 电容 RAD-0.2 104 1个 RAD-0.1 103 2个 九针排阻 1k SIP9 2个 7段共阴数码管 —— —— 2个 NPN三极管 8050 T092-A 2个 蜂鸣器 —— —— 1个 四、电路和程序调试过程与结果: 先按照设计图各个单元电路进行仿真,并对各个电路的性能及波形进行测试,发现电路的缺点和不足之处,例如74ls190的借位输出端端虽然在倒RC0计时到0时有低电平出现,但是时间只有半个周期,无法与信号与非,故不能用来和锁存触发器,必须用max\min代替。当调试好各个单元电路时,对各个电路进行连接组装,连接好后对电路总的性能进行调试,看各部分的功能能达到要求。 本电路在连接后,经测试,各部分的功能均能实现,显示正确 五、 总结 初级经济法重点总结下载党员个人总结TXt高中句型全总结.doc高中句型全总结.doc理论力学知识点总结pdf 本电路使用了锁存器,编码器,译码器数码管等构成倒计时电路使用了74ls190等电路实现倒计时,并利用使能端及门电路,实现各项锁存,鸣叫,清零等功能,总结如下: 优点:电路功能原理清晰,各项功能均达到了要求,显示准确,反应灵敏,无竞争冒险现象,基本满足了普通竞赛的抢答要求。 缺点:如果长按住按钮不放,主持人清零后将能获得抢答权,且由于编码器电路是优先编码器,所以如果两人抢答时间间隔在1ms以内,将出现编号靠前的选手获得抢答权的情况。 改进:可以更改促发器的类型,如使用jk触发器代替,则长按无效,或者在抢答端添加一个发光二极管,当有人作弊,二极管就会亮,从而阻止选手长按按钮的缺陷。 心得体会:通过这次课程设计,我对于数字电路知识有了更深的了解,尤其是对数字逻辑芯片的性能和使用方面的知识有了进一步的研究。同时实物的制作也提升了我的动手能力,实践能力得到了一定的锻炼,加深了我对数字电路设计方面的兴趣。理论与实践得到了很好的结合。 一,抢答器介绍: 抢答器适用于各类知识竞赛,文娱综艺节目,尤其是各类知识竞赛,除了了可以把各抢答组号,违例组号,抢答规定时限,大体时间倒计时等在仪器等在仪器面板上显示外,还可以接大屏幕显示屏显给观众,既可以活跃现场气氛,又便于监督,做到公平竞争。例如:三星智力快车等大家耳熟能详的节目。 二,功能要求: (1) 本例中的抢答器最多可供4名参赛选手使用,编号为1~4号,各队分别用一个按钮 (分别为S1~S4)控制,并设置一个由主持人控制的系统清零,加分控制开关S, 倒计时开关。 (2) 抢答器具有数据锁存功能,并将锁存数据用LED数码管显示出来,同时蜂鸣器发出 声响,主持人清零后,声音提示停止。 (3) 抢答器先后的分辨率为1ms. (4) 开关S作为清零及抢答器控制开关,当开关S被按下时,抢答电路清零,松开后则 允许抢答。输入抢答信号由抢答按钮开关S1~S4实现。 (5) 有抢答器信号输入(开关S1~S4中任意一个开关被按下)时,显示出对应的组别号 码,此时再按下其他任何一个开关无效,指示灯依旧“保持”第一开关按下时所对 应的状态不变。 (6) 加分电路由主持人控制,每次加分为一分,如果一人答错,则由剩下的人再抢答, 直至回答正确为止,倒计时电路是20秒倒计时电路。 三,总电路: 四,使用说明: 在总电路中,为了方便看图,我只画出了一号的号码显示电路,计分电路,声音发生电路。二号,三号,四号,可以同理画出。KeyA, KeyB, KeyC, KeyD分别表示四个选手台上的抢答按钮,KeyE表示由主持人控制的清零按钮。X9为号码显示电路,X6为声音发生电路。在X1的下面是计分电路,四个按钮也是由主持人控制的,每按下一次加一分。最下面是倒计时电路。游戏规则是:主持人说出题目后,按下倒计时电路,四位选手抢答,当某位选手按下按钮,其前面的灯亮起,并发出报警声,松开开关报警声停止,但灯继续亮着。选手要在规定时间内回答出答案,当回答完毕后,主持人按下KeyE,清零,灯灭。 五,各部分电路具体分析: A:20秒倒计时电路 本电路用二片74169可逆计数器级联而成,通过ABCD引脚可以设定几秒计时,再通过数码显示管显示出数字。当QA,QB,QC,QD都为0时,计数器重置再次进行计数。 B:声音发生电路 当开关不闭合时,555电路不起振,没有矩形波产生,扬声器不发声;当开关闭合时,555电路RST端口处于高电平,555电路起振,产生矩形波,扬声器发声,修改U11的频率可以改变矩形波的频率,听到的声音会不一样。 C:四位抢答器 数显抢答器由触发器,显示译码器,清零电路等组成,用二级管显示抢答器灯的亮灭,有人抢答后控制D触发器时钟信号自动闭锁其他人的信号传送,使其他组再按开关时失去作用。不同的组对应灯的颜色,按下按钮则对应的灯亮起。 D:选手号码显示电路 74192的预置数控制端实现预置数。 E:选手计分电路 用二片74161实现从0~99的计数功能。 六:问题 做课程设计时,对芯片的功能大多忘了,因为分了好多模块,单个模块仿真时没问题,但是放在一起仿真时就有问题了,就说那个倒计时电路,在一起仿真时,开关闭合后,数字显示不能自动倒计时,查了书,自己摸索,然后发现这个跟频率有关,频率高是计数器能自动计数,当频率低时,只有当开关闭合一次,数字才跳转一次。 七:设计感想 这次课程设计历时一个月,通过运用EDA设计四路抢答器程设计,发现自己的很多不足,自己知识的很多漏洞,看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。 在这个过程中,我也曾经因为实践经验的缺乏失落过,也曾经仿真成功而热情高涨。生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。虽然这只是一次的极简单的课程制作(四路抢答器),可是平心而论,也耗费了我不少的时间和精力, 我想说:为完成这次课程设计我确实很辛苦,但苦中仍有乐。通过这次课程设计, 当我遇到不会的时候就查书,询问同学,一起讨论,最终把问题解决。这个是最重要的。当我制作成功的时候,当我连好线,按下按钮,LED亮了起来,喇叭响起的是我一生以来最好听的声音,我的心中就不免兴奋,不免激动。以前种种艰辛这时就变成了最甜美的回忆~ 对我而言,知识上的收获重要,精神上的丰收更加可喜。让我知道了学无止境的道理。我们每一个人永远不能满足于现有的成就,人生就像在爬山,一座山峰的后面还有更高的山峰在等着你。挫折是一份财富,经历是一份拥有。这次课程设计必将成为我人生旅途上一个非常美好的回忆~ 四人智力抢答电路 一、设计任务与要求 1.设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。 用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器鸣响。选手抢答时,数码显示选手组号,同时蜂鸣器鸣响,倒计时停止。 2.设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能。 (4)当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响。参赛选手在设定时间(10秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 二、总体方案 1.工作原理: 本电路图是用D触发器和与非门组成的,555电路提供CP脉冲,主要提供给倒计时的脉冲。1,2,3,4按钮为抢答者按钮,Space按钮为主持人复位按钮。当没有人抢答时,按钮1,2,3,4均为高电平,这时芯片虽然有连续脉冲输入,但74LS373的输出端Q1-Q4均为1,发光二极管不亮,蜂鸣器输入端为高电平,所以发声。当有人抢答时,例如1键被按下时,在CP脉冲作用下,Q1立即变为0,发光二极管被点亮,同时蜂鸣器发声,在经反向后,控制脉冲不能再作用到触发器,即使其他抢答者按下按钮也将不起作用。倒计时结束时,在没人回答的情况下,74LS192芯片通过反馈给蜂鸣器,蜂鸣器再次发声。倒计时还没开始时,如有人抢答,也会反馈给蜂鸣器,蜂鸣器发声,并显示出抢答者的序号。主持人可通过按Space按钮,使电路恢复正常状态,并为下一次抢答做好准备。 2.单元设计电路 (1)定时电路 由555定时器产生时间基准信号秒脉冲。振荡周期为10秒脉冲信号经两级有预置功能的可逆十进制计数器74LS192对时钟信号进行计数,当计数到达预置的时间,计数器产生溢出而封锁计数脉冲,使计数器停止计数。数码管指示时间 值。 具体电路如下图: (2)蜂鸣器部分 3.主要器件 SN74121N芯片,74LS373N芯片,555计时器(LM555CM芯片), 74192N芯片 74LS148D芯片 三、实物图 四、分析与总结 经过一段时间对模电、数电的学习,使我对电路设计产生了浓厚的兴趣,使我对电子器件有所了解和掌握了multisim软件的应用。经过做课程设计的一段时间里,另我提高了查阅资料的能力和动手焊接电路的能力以及对一些电子器件有更深刻的了解。此次完成的四路抢答器几乎接近完美,而不足之处就是没有设计计分电路和所用的器件过多而导致成本过高和增加焊接电路的难度。完成实物后,经过实际测试,结果失败。 失败现象:接通电源后,抢答还没开始就有两盏灯一直亮着,无论怎么按其他抢答,都是只有这两盏灯一直在亮着,从而导致倒计时不会倒计,显示器不能正常显示出抢答选手号码。 失败原因:抢答开关跟电路在连接上有问题,导致其中两个开关即使不进行抢答也保持它们同时抢答的状态,导致倒计时不进行倒计,由于两个抢答的灯同时亮着,导致显示器不能正常显示出抢答的好吗。 五、参考文献 阎石,高等教育出版社——《数字电子技术基础》 康华光,高等教育出版社——《电子技术基础数字部分》 网上的一些课程设计报告 电路总图
本文档为【数电4人抢答器课程设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_682974
暂无简介~
格式:doc
大小:748KB
软件:Word
页数:31
分类:互联网
上传时间:2017-09-18
浏览量:121