首页 eda电子钟设计

eda电子钟设计

举报
开通vip

eda电子钟设计基于VHDL的多功能数字钟设计报告 题目:多功能数字钟 姓名:程胜归 学号:0304811 指导老师:廖宇 设计时间:2007-7-8 1、 VHDL的发展 硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用集成电路...

eda电子钟设计
基于VHDL的多功能数字钟设计 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 题目:多功能数字钟 姓名:程胜归 学号:0304811 指导老师:廖宇 设计时间:2007-7-8 1、 VHDL的发展 硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用集成电路ASIC或现场可编程门阵列FPGA自动布局布线工具,把网表转换为要实现的具体电路布线结构。目前,这种高层次(high-level-design)的方法已被广泛采用。据统计,目前在美国硅谷约有90%以上的ASIC和FPGA采用硬件描述语言进行设计。 早在1980年,因为美国军事工业需要描述电子系统的方法,美国国防部开始进行VHDL的开发。1987年,由IEEE(Institute of Electrical and Electro- nics Engineers)将VHDL制定为标准。参考手册为IEEE VHDL语言参考手册标准草案1076/B版,于1987年批准,称为IEEE 1076-1987。应当注意,起初VHDL只是作为系统MATCH_ word word文档格式规范word作业纸小票打印word模板word简历模板免费word简历 _1716823961664_1的一个标准,而不是为设计而制定的。第二个版本是在1993年制定的,称为VHDL-93,增加了一些新的命令和属性。虽然有“VHDL是一个4亿美元的错误”这样的说法,但VHDL毕竟是1995年以前唯一制订为标准的硬件描述语言,这是它不争的事实和优势;但同时它确实比较麻烦,而且其综合库至今也没有标准化,不具有晶体管开关级的描述能力和模拟设计的描述能力。目前的看法是,对于特大型的系统级数字电路设计,VHDL是较为合适的。实质上,在底层的VHDL设计环境是由Verilog HDL描述的器件库支持的,因此,它们之间的互操作性十分重要。目前,Verilog和VDHL的两个国际组织OVI、VI正在筹划这一工作,准备成立专门的工作组来协调VHDL和Verilog HDL语言的互操作性。OVI也支持不需要翻译,由VHDL到Verilog的自由表达。 二、设计要求 基本要求: 1、24小时计数显示; 2、具有校时功能(时,分) ; 附加要求: 1、秒表功能(复位,计时); 三、实验程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CLOCK IS PORT ( CLK1:IN STD_LOGIC; CLK2:IN STD_LOGIC; R1:IN STD_LOGIC; R2:IN STD_LOGIC; scond:IN STD_LOGIC; HOUR:IN STD_LOGIC; MINI:IN STD_LOGIC; RESET:IN STD_LOGIC; DOUT:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); CHOICE:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); END CLOCK; ARCHITECTURE behav OF CLOCK IS SIGNAL LED7:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL SLIP:STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL a,b,c,d,e,f,g,h:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN --------------------------------------- PROCESS (CLK2) BEGIN IF CLK2'EVENT AND CLK2='1' THEN IF SLIP<"111" THEN SLIP<=SLIP+1; ELSE SLIP<="000"; END IF; CASE SLIP IS WHEN "000"=> CHOICE<="00000001";LED7<=a; WHEN "001"=> CHOICE<="00000010";LED7<=b; WHEN "010"=> CHOICE<="00000100";LED7<=c; WHEN "011"=> CHOICE<="00001000";LED7<=d; WHEN "100"=> CHOICE<="00010000";LED7<=e; WHEN "101"=> CHOICE<="00100000";LED7<=f; WHEN "110"=> CHOICE<="01000000";LED7<=g; WHEN "111"=> CHOICE<="10000000";LED7<=h; WHEN OTHERS=>CHOICE<="00000001";LED7<=a; END CASE; END IF; END PROCESS; PROCESS (CLK1) BEGIN IF CLK1'EVENT AND CLK1='1' THEN IF a<"1001"THEN a<=a+1; ELSE b<=b+1;a<="0000"; END IF; -------0--a IF( a="1001"and b="0101")then d<=d+1;b<="0000";a<="0000"; end if; IF c="1100"THEN c<="1101";f<="1101"; ELSE c<="1100"; f<="1100"; END IF; -------2--c-f-- IF d="1010"THEN e<=e+1;d<="0000"; END IF; -------3--d IF( d="1001"and e="0101")then g<=g+1;e<="0000";d<="0000";end if; IF g="1010"THEN h<=h+1;g<="0000"; END IF; -------6--g IF (h="0010" and g="0011")THEN a<=a+1;h<="0000"; END IF; -------7--h ----------部分[时间可调]开始----- IF RESET='1' THEN a<="0000"; b<="0000"; c<="0000"; d<="0000"; e<="0000"; f<="0000"; g<="0000"; h<="0000"; END IF; ----复位键 IF scond='1' THEN a<="0000";b<="0000"; END IF;----精确调整秒清零 IF MINI='1'THEN d<=d+1;END IF;---分钟调整 IF (d="1001" and e="0101")then d<="0000";e<="0000";g<=g;END IF;--59分时小时不加1 IF d="1010"THEN e<=e+1;d<="0000"; END IF;---分钟不延时 IF HOUR='1'THEN g<=g+1;END IF;---小时调整 IF g>"1000"THEN g<="0000";h<=h+1;END IF;--小时不延时 IF (g="0011" and h="0010")then g<="0000";h<="0000";END IF;--小时调整不延迟 -----------部分[时间可调]结束----- -----------部分[秒表计时]开始----- IF R1='1' THEN a<="0000"; b<="0000"; c<="1100"; d<="0000"; e<="0000"; f<="1100"; g<="0000"; h<="0000"; END IF;----秒表复位 IF R2='1' THEN a<=a; else a<=a+1; END IF;---即时计时 -----------部分[秒表计时]结束------ END IF; END PROCESS; ------------------------------------------ PROCESS(LED7) BEGIN CASE LED7 IS WHEN "0000"=> DOUT<="0111111"; WHEN "0001"=> DOUT<="0000110"; WHEN "0010"=> DOUT<="1011011"; WHEN "0011"=> DOUT<="1001111"; WHEN "0100"=> DOUT<="1100110"; WHEN "0101"=> DOUT<="1101101"; WHEN "0110"=> DOUT<="1111101"; WHEN "0111"=> DOUT<="0000111"; WHEN "1000"=> DOUT<="1111111"; WHEN "1001"=> DOUT<="1101111"; WHEN "1100"=> DOUT<="0000000"; WHEN "1101"=> DOUT<="1000000"; WHEN OTHERS=> DOUT<="0000000"; END CASE; END PROCESS; END behav; 4、 实验仿真效果图 实验程序通过编译,无错误,设置CLK和CLK1的宽度为1输入信号进行仿真,得到仿真效果如下图: 五、实验结果: 1. 实现了基本要求:24小时计时;分钟小时可调; 2. 并在基本要求的基础上增加了复位,以及精确调整时的秒清零功能; 3. 解决了调节时候的分钟和小时进位时候的延迟现象; 4. 分钟调整时,当调整至59时,此时的小时不增加,合理化设计; 5. 简单秒表功能:R1复位开始秒表,R2计时; 以上实验结果现象在实验箱上正常演示,通过老师检查! 六.设计体会 数字钟的原有程序是老师编写的,我只是在原有的基础上加上了一些程序段实现了时钟的可调和简单的秒表功能,调整的过程当中也发现不少问题,比如:进位的时候有2秒的延迟,小时到达23的时候有跳转错误的现象,通过解决每一个细节问题使我学到了不少东西,弄懂了硬件程序控制语言的基本原理,然后再来修改程序控制的功能就简单多了,于是我稍做修改,增加了复位功能,需要精确调整时候的秒个位和十位的清零功能,当我们调节时间的分钟的时候,我们通常希望这个调节到59的时候小时不要加1,实际的电子手表也是如此,我就加上了一个句子来控制调整.秒表功能设计得很简单,复位下来开始工作,简单地计时,只能记下一个人的时间成绩,R2可以的拨动可以继续计时.使用秒表时的数字钟功能不能恢复,秒表使用完毕后回到数字钟的功能时间不再正确,相当于复位了数字钟,这是此次设计的最大失败的地方,曾请教过多位同学查询过很多资料没有找到好的解决 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 ,实际中我们的电子手表是可以的. 个人觉得设计的前提是实用性,曾想过将流水灯程序加进去,实现数字钟的同时有流水灯效果,后来想想除了有点美观效果外使用性几乎没有,故略去.下一步思考将音乐芯片和数字钟结合起来实现整点报时功能或者手动较时闹钟功能!个人能力远远不够希望老师多多指点! 七.参考文献 1.现代可编程逻辑器件及SOPC应用设计 2.VHDL设计教程
本文档为【eda电子钟设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_406023
暂无简介~
格式:doc
大小:118KB
软件:Word
页数:7
分类:工学
上传时间:2011-12-22
浏览量:58