首页 基于FPGA的地铁自动售票系统设计2

基于FPGA的地铁自动售票系统设计2

举报
开通vip

基于FPGA的地铁自动售票系统设计2基于FPGA的地铁自动售票系统设计2 更多相关参考论文设计文档资源请访问 毕 业 设 计(论 文) ( 2015 届) 题 目: 基于FPGA的地铁自动售票系统设计 学 院: 物理科学与技术学院 专 业: 电子信息工程 目录 目录 ........................................................................................................ I 摘要 ..............................

基于FPGA的地铁自动售票系统设计2
基于FPGA的地铁自动售票系统 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 2 更多相关参考论文设计文档资源请访问 毕 业 设 计(论 文) ( 2015 届) 题 目: 基于FPGA的地铁自动售票系统设计 学 院: 物理科学与技术学院 专 业: 电子信息工程 目录 目录 ........................................................................................................ I 摘要 ....................................................................................................... 1 ABSTRACT .......................................................................................... 2 1 绪论 ................................................................................................... 3 1.1 研究背景.................................................................................................................. 3 1.2 选题的意义.............................................................................................................. 3 1.3 论文主要研究内容 ................................................................................................. 4 1.4 论文结构.................................................................................................................. 4 2 理论基础 ............................................................................................ 5 2.1 EDA技术 ................................................................................................................. 5 2.2 FPGA技术............................................................................................................... 5 2.2.1 FPGA简介 ............................................................................................................. 5 2.2.2 FPGA的优点 ......................................................................................................... 6 2.3 VHDL语言 .............................................................................................................. 6 2.3.1 VHDL语言简介 ..................................................................................................... 6 2.3.2 VHDL语言的优点.................................................................................................. 6 2.3.3 VHDL语言设计的基本单元.................................................................................... 7 2.4 Quarters II ............................................................................................................... 7 3 系统模块设计分析 ............................................................................. 8 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问系统整体机构.......................................................................................................... 8 3.1.1 系统流程图 ............................................................................................................ 8 3.1.2系统功能模块介绍 .................................................................................................. 9 3.2 系统各功能模块的设计及仿真 ............................................................................. 9 3.2.1 车票选择模块的设计.............................................................................................. 9 3.2.2 投币处理模块 ...................................................................................................... 11 3.2.3 金额处理模块 ...................................................................................................... 12 3.2.4 显示模块 ............................................................................................................. 13 3.2.5 模块顶层实现 ...................................................................................................... 17 3.3硬件实现 ................................................................................................................ 18 结论 ...................................................................................................... 20 参考文献............................................................................................... 21 致谢 ...................................................................................................... 22 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 摘要 近年来,人口增长,交通拥挤,资源短缺,地铁逐渐成为人们出行交通的主要交通工具。地铁系统中,自动售检票系统(AFC)作用最为重要,主要完成旅客自动购 出站等功能。其中,自动售票系统又是尤为重要的,旅客票,检票进站,计费,检票 自动完成购票,大大的节约了人力,减少了人工售票带来的错误,极大地提高了工作效率。另外,随着EDA技术、FPGA技术的飞速发展,因其操作简单,资源利用率高,性能优等特点,也逐渐成为电子信息中的主流技术。 本设计就是基于EDA技术,使用FPGA芯片,设计一个地铁自动售票系统。本设计采用自顶向下的设计理念,将系统划分为几个功能模块分析设计。在Quarters II软件环境下,使用VHDL语言,编写车票选择模块、投币处理模块、金额处理模块、显示模块的程序,并进行软件仿真,最后下载到FPGA芯片中进行硬件实现。结果表明该设计能较好的完成地铁自动售票系统选票、投币、找零、出票等功能。 关键词:地铁自动售票系统,FPGA, VHDL,仿真,实现 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 ABSTRACT Recently population growth, traffic jam and scarcity of resource have made subway a main part of transportation. As an integral part of subway system, AFC is designed for passengers’ ticket purchasing and checking in and out. The subway automatic ticketing system plays the most important role in AFC system because it helps to decrease mistakes of manual tickets selling and improvement on working efficiency. Furthermore, the development of EDA and FPGA lead to a trend of electronic information technology because of their simplicity of operation, high rate of resource utilization and exceptional function. This design is a subway automatic ticketing system on basis of EDA technology through application of FPGA chip. By top-down approach, we divide the system into several function modules. With VHDL language, we design module of choice, module of coin, module of change, module of display. We use Quarters II to simulate and then download to the FPGA chip to hardware completion. The result shows that the system can complete function of choice, function of coin, function of change and function of display. Keywords: subway automatic ticketing system, FPGA, VHDL, simulation, hardware completion 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 1 绪论 1.1 研究背景 近30年来,中国经济迅速发展,并且在2010年,已经超于日本成为世界第二大经济体。但是,在中国庞大的人口基数下,伴随着经济发展出现的一系列问题也日趋严重:资源的严重短缺,环境的加速恶化,交通出行不便利等,其中城市人口与车辆增加速度过快而已发的交通拥堵问题困扰着我们每一个人。因此,地铁应运而生,因为其减少占用地面空间、一次性运输乘客数量巨大、能源消耗率较少,安全性能高,速度快,价格优惠等特点,受到各大城市的青睐,越来越多的城市已经将地铁作为城[1]市的主要公共交通工具。2000年以前,中国只有5座城市拥有地铁,然而截止到2014年,已经有26座城市开通了地铁,地铁线路的总数量成井喷式增长。 现在地铁系统中广泛使用的自动售检票系统(AFC),是1967年在法国巴黎地铁第一次启用的。在之后几十年的发展中,AFC系统在各国地铁系统中广泛使用,并且得到了进一步的发展。现在的AFC系统,是基于计算机网络通信技术、人机交互技术、机电一体化技术、钱币识别技术、介质感应技术等技术,实现了旅客进站购票、检票进站、根据里程计费,票务服务、检票出站等功能的全自动系统。减少了人工售检票的工作人员使用量,减轻了人工的负担,同时减少了旅客售检票进站出站的时间,也[2][3]增加票务收入的安全性与可统计性。 在AFC系统中,最重要的系统是自动售票系统,它的AFC系统完成一系列服务的基础,它的主要功能就是根据旅客的需求,和旅客有交互性的自动完成线路的选择,乘坐站数量的选择,购买车票数量的选择,投币与找零等功能。此系统大大减少了购票时由于人工原因造成的票据错误意义钱款错误,保证了旅客在最短的时间内选择购[4]买正确的车票。 近年来, EDA技术发展速度惊人,它主要采用硬件描述语言来对系统逻辑进行描述,采用自顶向下的设计理念,使复杂的数字系统设计自动化成为可能,硬件系统的行为描述完全取决于硬件描述语言的正确性,更改是显得非常的便捷,极大地降低了成本并且提高了效率。因此,我们最终采用EDA技术基于FPGA设计课题要求的[5] 地铁自动售票系统。 1.2 选题的意义 我国地铁系统发展较晚,虽然今年来发展迅速,但仍和一些国家有着较大差距。通知,我国的AFC系统也在不断的探索前进当中,其中最为重要的地铁自动售票系统也需要更加方便,功能更加完善,更加人性化,在这些方面我们要在现有的基础上不断创新发展,才能达到更好的服务大众的要求。 另外,之前的地铁自动售票系统由于技术的发展限制,大多数采用的是单片机+DSP来设计的,然而现在迅猛发展的FPGA技术已经在功能和性能上大大超越了单片机和DSP的组合。一个FPGA芯片上往往集成了上百万们的逻辑块,具有极高的密度和容量,它的输入输出端口的数量也显著增多。FPGA内部器件的延时很小,大大提高了工作效率,用硬件逻辑模块实现控制的机制,也让我们的设计更加具有灵活性和可操作性。FPGA技术已经成为一个大的趋势与潮流,因此,本课题的研究选择FPGA本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 [6]来实现地铁售票系统也能跟好的掌握主流的技术,具有相当强的实际意义。 1.3 论文主要研究内容 本论文所研究的自动售票机的售票系统,所要实现的功能主要概括如下 1)对1号、2号两条地铁线售票,每条线均有2、3、4元三种票,可以设置固定价位的票进行购买,也可由系统根据起点和目的地自动判别票价(论文中假设乘坐1-5站票价为2元,乘坐6-10站票价为3元,乘坐11到15站票价为4元)。 2)能选择购买票的张数(论文中假设可以购买1张、2张、3张)。 3)有1元、5元和10元三个投币口,当投入钱币与选择的票款相等时,送出车票;若投入的钱币不够,提示继续投票,或者选择退出钱币,无票送出;若投入的钱币超过票款,能自动找赎。 1.4 论文结构 本文主要探讨地铁发展过程以及AFC系统重要性,并对其中地铁自动售票系统进行设计,设计采用EDA技术基于FPGA。本文整体结构布局如下: 第一章:绪论。介绍课题研究背景,阐述地铁自动售检票系统的功能已经重要性,阐述选择本课题进行研究的意义,描述本课题需要实现的系统功能,介绍本文的主要研究内容以及章节安排。 第二章:理论基础。介绍本课题中主要涉及的EDA技术、FPGA技术、VHDL语言、使用的Quarters II软件,分析他们的优势、目前市场上的现状以及发展趋势。 第三章:系统具体模块的设计分析以及软件仿真。主要介绍系统四大功能模块:车票选择模块、投币处理模块、金额计算模块、显示模块的设计思路以及设计过程,通过Quarters II仿真的结果。最后下载到FPGA芯片中进行硬件仿真。 第四章: 总结 初级经济法重点总结下载党员个人总结TXt高中句型全总结.doc高中句型全总结.doc理论力学知识点总结pdf 与展望。对系统完成的情况进行总结月评价,介绍系统不足及改进方向。 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 2 理论基础 2.1 EDA技术 EDA简称电子设计自动化,是Electronic Design Automation的缩写。在20世纪90年代初期,EDA是从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机[7]辅助测试(CAT)和计算机辅助工程(CAE)等概念一点一点演变而来的。 现在电子设计技术的潮流就是EDA技术,它的特点是它将整个要完成的系统要求根据其功能划分为各个功能模块,采用的是“自顶向下”的设计理念,这样可以在设计的初级就发现系统存在的一些错误并加以改正,每一个功能模块单独设计,采用硬件描述语言表述系统行为,从而实现需要的功能。在设计的过程中,系统的逻辑编译、化简、分割、综合、优化、布局布线、仿真及特定目标芯片的适配编译和编程下载都是有quarters II自动完成的,节省了很多的人力物力。通过这样的机制,当发现程序错误或者设计者需要对已经编写好的程序功能做出修改的时候,就和修改软件一样简单,修改后也很同意通过仿真了解设计结果,使设计更有主动性和目的性,极大地提[8]高了效率,并且减少了重复劳动,也节约了资金。 EDA技术现在已经越来越广泛的深入到我们的日常生活中来,电子、机械、医学、军事等等等等都因为EDA技术得到了极大地发展和便利。我们通过EDA技术利用电路仿真提前检测设计电路的性能;通过EDA技术对原有的设备进行技术升级从而提高性能减小体积;应用EDA技术在大批量生产前先进性小规模试验等,这一系列的改变都证明着EDA技术已经成为电子工业技术的支柱,基于芯片的自顶而下的设计理念已经取代了固定功能集成块的设计理念。 2.2 FPGA技术 2.2.1 FPGA简介 FPGA 采用了逻辑单元阵列 LCA(Logic CellArray)这一新概念,内部包括可配置逻辑模块 CLB(Configurable Logic Block)、输出输入模块IOB(InputOutput Block)和内部连线(Interconnect)三个部分。 FPGA的基本单元是相同的逻辑模块,这些逻辑模块组成一个矩阵,这些逻辑模块就是用户实现要求逻辑功能的基本工具。I/O模块和外部引脚分布在矩阵的周边,片内拥有可编程的连线,他们根据设定好的等级分布在片内,按照用户的需求可以连接各个逻辑模块和I/O模块。 FPGA有下面4种配置模式: 1)并行主模式为一片FPGA加一片EPROM的方式; 2)主从模式可以支持一片PROM编程多片FPGA: 3)串行模式可以采用串行PROM编程FPGA; 4)外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 2.2.2 FPGA的优点 第一,FPGA的操作上手简单,入门快,拥有较短的设计周期,由于其由顶自下的设计方法,使得排除差错以及修改功能简单快捷,开发风险较低,占用资源少,降低开发成本,更加受到小公司的青睐。 第二,FPGA产品集成度越来越高。现如今大部分FPGA芯片都已经达到几十万们,甚至一百万门以上,寄存器数量也已经达到上万,几乎可以满足任何要求的数字系统的设计。 第三,使用方便。区别于传统芯片烧录之后就无法更改的特点,FPGA芯片可以根据设计者的要求变更,无数次的编译,擦除,在外部硬件设施不变的情况下,只需要改变内部软件就可以实现不同的功能。系统的输入,编译,仿真都非常的简单方便。 2.3 VHDL语言 2.3.1 VHDL语言简介 VHDL是VHSIC硬件描述语言(Very-High-Speed Integrated Circuit 缩写。1980年到1983年期间,在美国国防部的指HardwareDescription Language)的 挥下完成开发。1987年被美国国防部和IEEE确定为 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 的硬件描述语言。在1993年之前,由于IEEE1076-1987在综合方面参数不详细,工程实现难度较大。1993年IEEE修改了VHDL标准,也成为现在被大家熟知的应用最多的版本。 VHDL主要用于描述数字系统的结构、行为、功能和接口。VHDL语言在形式和构成上和许多计算机高级语言都很类似。它将我们要完成的一项工程划分根据设计的需要划分为内部和外部,分别进行分析和设计。当我们完成一个实体的设计之后,如果在后续的设计中还需要用到这个实体的功能,只需要简单的调用就可以实现其功能。 2.3.2 VHDL语言的优点 1)适用性广:目前广泛使用的IEEE已经修订了VHDL标准,因此,几乎所有EDA工具都支持使用VHDL语言来设计所需要的程序。 2)强大的硬件描述能力:从最为简单对门级到复杂的系统级,使用VHDL语言都能一次性完成描述、仿真、综合、调试等一系列的程序设计要求。 3)强大的行为描述能力:无论多么复杂,规模多么大的系统的设计,运用VHDL语言进行设计时,都能将其划分成若干个相对独立的小的系统进行设计,大大的降低了设计系统的困难程度。 4)设计过程独立于成品:在编写程序的过程中,不需要考虑成品外观或者引脚等的舒束缚,专心研究完成功能的设计。 5)成果交流方便:通过VHDL语言设计的系统,当需要引用部分模块或程序是,[12]不需要改动,只要改变其中的部分参数即可实现。 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 2.3.3 VHDL语言设计的基本单元 VHDL是由设计单元组成的,基本的设计单元包括:实体(Entity)、构造体(Architecture)、配置(Configuration)、包集合(Package)、库(Library). 1)实体:描述模块外部接口和接口的相关参数。 entity 实体名称 is port( 端口信号名称1: 输入/输出状态 数据类型; 端口信号名称1: 输入/输出状态 数据类型; ………… 端口信号名称N: 输入/输出状态 数据类型 ); end实体名称 ; 2)构造体:描述模块内部功能、行为或结构实现。 architecture 结构体名 of 实体名称 is {声明语句;} begin {并发语句} end 结构体名称; 3)配置:用于描述设计的层次之间的互联关系,以及实体与结构体之间的连接关系 configuration 配置名称 of 实体名称 is 配置语句 end 配置名称 4)包集合:用于储存在工程设计中需要反复用到的常量定义、数据类型定义、子类型定义、子程序和函数声明等。 package 包集合名称 is 包集合声明语句 end 包集合名称 package body 包集合名称 is 包集合体描述语句 end 包集合名称 5)库:包含编辑后的数据的集合 [11]library 库名称 2.4 Quarters II 随着时代的进步,美国Altera公司对其EDA工具也进行着不断地升级,Quarters II身为第四代产品应运而生,并且收到了广泛的好评,已经得到大范围的使用 Quarters II元件库中提供几乎我们设计需要的所有原件,包括基本元件库、工作库等等,在帮助我们了解各种原件的同时也几乎能满足我们设计的所有需求。Quarters II的编译综合功能异常强大,电路仿真,时序图仿真结果清晰明了,方便对实验结果进行观察记录。另外,Quarters II的交流能力也很强,几乎能和其他任何EDA工具连接。 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 3 系统模块设计分析 3.1 系统整体机构 3.1.1 系统流程图 根据题目要求,购票流程如下:1、乘客选择乘坐的线路2、乘客选择购买的票价或选择乘坐的站的数量3、乘客选择购买的车票的数量4、乘客投入钱币、5、系统计算金额,判断如果投入金额大于等于所需金额,则出票,如果投入金额小于所需金额,由乘客选择继续投币或者退出。 根据此流程,系统流程如下: 图3-1 系统流程图 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 3.1.2系统功能模块介绍 根据流程图分析,系统大致分为四个主要功能模块:车票选择模块,投币处理模块,金额计算模块,显示模块。四个模块功能介绍如下: 车票处理模块:根据乘客的需求可以选择乘坐的线路(1号线或2号线),选择购买的票价或者根据乘坐的站数决定票价(论文中假设乘坐1-5站票价为2元,乘坐6-10站票价为3元,乘坐11到15站票价为4元)。选择购买票的张数(论文中假设可以购买1张、2张、3张)。计算出购买车票的总票价。 1)投币处理模块:有1元、5元、10元三种钱币入口,判定乘客投入的钱币并计算投入的总钱数。 2)金额计算模块:系统计算需要的总金额,判断如果投入金额大于等于所需金额,则出票并找零,如果投入金额小于所需金额,由乘客选择继续投币或者退出。 3)显示模块:显示乘客所选线路,购买票价,购买数量,花费总金额,投入总金[14]额,找零等信息。 顶层模块大致结构图如下: 图3-2 顶层结构图 3.2 系统各功能模块的设计及仿真 3.2.1 车票选择模块的设计 车票处理模块:根据乘客的需求可以选择乘坐的线路(1号线或2号线),选择购买的票价或者根据乘坐的站数决定票价(论文中假设乘坐1-5站票价为2元,乘坐6-10站票价为3元,乘坐11到15站票价为4元)。选择购买票的张数(论文中假设可以购买1张、2张、3张)。计算出购买车票的总票价。 根据这些要求,设计车票选择模块的输入端口为: 1)rd:模块复位信号,按下清零,表示可是重新购票。 2)clk:模块的时钟信号。 3)road_in(1 downto 0]:01代表选择1号线,10代表选择2号线 4)price_in(5 downto 0]:高两位表示直接选择票价,00表示不选择票价,01代表本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问选择票价为2元,10代表选择票价为3元,11代表选择票价为4元。低三位表示根据乘坐站的数量选择票价,0001-1111分别表示乘坐站的数量为1-15站。 5)quantity_in(1 downto 0):01代表购票票数为1张,10代表购买票数为2张,11代表购买票数为3张。 车票选择模块的输出端口为: 1)road_out(1 downto 0):表示所选线路,将结果输出到余额计算模块和显示功能模块。 2)price_out(1 downto 0):表示所选票价,将结果输出到余额计算模块和显示功能模块。 3)quantity_out(1 downto 0):表示所购买的票数,将结果输出到余额计算模块和显示功能模块。 4)cost(7 downto 0):表示应付的总金额,00000001-00001111分别表示总价格为1-15元,将结果输出到余额计算模块。 图3-3 车票选择模块符号 模块波形仿真结果如下: 图3-4 车票选择模块波形仿真图 如图中所示,rd为1时,均输出0,rd为0时,在road_in端输入01,选择1号线,然后在price_in端输入001111,乘坐15站车票价格为4元,然后在quantity_in端输入11,选择购买车票的数量为3,得到的结果为:road_out输出为01,表示选择1号线,quantity_out输出为11,表示购买两张车票,costh端输出为0001,表示需要的总价十位为1,costl端输出为0010,表示需要的总价个位为2,总价为12元。 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 3.2.2 投币处理模块 投币处理模块:设置有1元、5元、10元三种投币口,根据乘客投币情况,计算投币总金额。 根据这些要求,设计投币处理模块的输入端口为: 1)rd:模块复位信号,按下清零。 2)clk:模块时钟信号。 3)coin1(7 downto 0),coin5(7 downto 0),coin10(7 downto 0):代表1元、5元、10元三个投币口,每按下一次分别代表投入1元、5元、10元钱,可重复投币。 4)querenin:按下表示投币结束。 投币处理模块的输出端口为: 1)payh(3 downto 0):代表投入钱币的总价格的十位,输出到金额计算模块和显示模块。 2)payl(3 downto 0):代表投入钱币的总价格的个位,输出到金额计算模块和显示模块。 3)querenout(1 downto 0):投币结束信号,送到显示模块。 图3-5 投币处理模块符号 模块波形仿真结果如下: 图3-6 投币处理模块波形仿真图 如图中所示,rd为1时,均输出0,rd为0时,在coin1输入1,代表投入1元,在coin5输入1,代表投入5元,在coin10输入1,代表投入10元,总计投入6个1本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问元,1个5元,1个10元,payh端输出为0010,payl端输出为0001,代表总共投入21元。querenin端输入1后,querenout端开始始终始终输出01。 3.2.3 金额处理模块 金额处理模块:根据之前计算的票价总金额和乘客投入的总金额,如果投入总金额大于需要总票价,则提示需要出票与找零并显示找零数额;如果投入总金额等于需要总票价,则提示出票;如果投入总金额小于投入总票价,则提示不出票。 根据这些要求,金额处理模块的输入端口为: 1) rd:模块复位信号,按下清零。 2) clk:模块时钟信号。 3) costh(3 downto 0):购买车票需要支付的总票价的十位。 4) costl(3 downto 0):购买车票需要支付的总票价的个位。 5) payh(3 down to 0):投入钱币的总金额的十位 6) payl(3 down to 0):投入钱币的总金额的个位。 金额处理模块的输出端口为: 1) chupiao:1代表处票,0代表不出票。 2) zhaolingh(3 downto 0):表示需要找零的钱数的十位。 3) zhaolingl(3 downto 0):表示需要找零的钱数的个位。 图3-7 金额处理模块符号 模块波形仿真结果如下: 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 图3-8 金额处理模块波形仿真图 如图中所示,rd为1时,均输出0,rd为0时,cosh端输入为0001,代表票价总数十位为1,costl端输入为0010,代表票价总数个位为2,所以票价总钱数为12元,payh端输入为0010,代表投入钱数十位为2,payl端输入为0001,代表投入钱数个位为1,所以投入钱数总价为21元,chupiao端输出为1,代表出票,zhaolingh端输出为0000,代表找零十位为0,zhaolingl端输出为1001,代表找零个位为9,所以找零9元。 3.2.4 显示模块 显示模块根据车票选择模块、投币处理模块、金额处理模块的输出,在8个数码管上分别显示选择线路、购买车票数量、购买车票总价,投入金额总价、找零。根据其功能分析,此模块可由四部分组成:模8计数器,8选1数据选择器、译码器、动态扫描器。 3.2.4.1 模8计数器 模8计数器所要实现的功能是,每一个时钟上升沿计数一次,技术结果加1,逢8归零。取值范围为000到111。 模块波形仿真结果如下: 图3-9 模8计数器波形仿真图 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 如图中所示,rd为1时,均输出0,rd为0时,模块根据时钟上升沿完成模为8的计数。 3.2.4.2 8选1数据选择器 每次只能点一个数码管,根据模8计数器的输出信号,选择一个数码管点亮显示相应的数据。第一个为选择的线路,第二个为购买车票的数量,第三个为购买车票总价的十位数字,第四个为购买车票总价的个位数字,第五个为投入金额的十位数字,第六个为投入金额的个位数字,第七个为找零的十位数字,第八个为找零的个位数字。 模块波形仿真结果如下: 图3-10 数据选择器波形仿真图 如图中所示,qin0到qin8输入端设为2、3、4、5、6、7、0、1,在选择输入端输入从000到111变化的过程中,shuchu端输出为2、3、4、5、6、7、0、1,即表示该模块完成8算1数据选择功能。 3.2.4.3 译码器 译码器的功能就是将前面数据选择器输出的信号译码,显示在数码管上。 模块波形仿真结果如下: 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 图3-11 数据选择器波形仿真图 如图中所示,在yin从0000到1001,即0到9变化的过程中,yout相应的改变, 可以再数码管上显示0到9这些数字。 3.2.4.4 动态扫描器 动态扫描器的功能是每次选择哪一个数码管点亮。 模块波形仿真结果如下: 图3-12 动态扫描器波形仿真图 如图中所示,0表示选中此数码管,此模块完成了逐个选择数码管的功能。 3.2.4.5 显示模块 将之前四个模块综合起来,就完成了显示模块 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问显示模块的输入端口为: 1)clk:模块时钟信号; 2)qin0(3 downto 0)到qin7(3 downto 0):分别代表选择线路,购买数量,总票价十位数字,总票价个位数字,投入钱数十位数字,投入钱数个位数字,找零十位数字,找零个位数字。 输出信号为: 1)com(7 downto 0):表示选择那一个数码管点亮; 2)seg(7 downto 0):表示数码管显示的数字。 图3-13 显示模块符号 模块波形仿真结果如下: 图3-14 显示模块波形仿真图 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 如图所示,由clk驱动,逐个数码管点亮,分别显示qin0到qin7所代表的数字。 3.2.5 模块顶层实现 [15]将各个模块生成原件,在顶层文件中调用并连线。 图3-15 顶层文件模块连线图 功能仿真结果如下: 图3-16 顶层文件波形仿真图 如图3-16所示:road_in端输入01,代表选择1号线,price_in端输入001111,代表选择乘坐15站,每张票价4元,quantity_in端输入11,代表购买三张票,coin1共输入6次,coin5输入1此,coin输入1此,代表1元投入5个,5元投入1个,10元投入1个。在queren端输入0时,seg端时钟输出全0,投币结束后,queren端输入1,chupiao端输出位1,代表出票。seg端根据com选中的数码管一次输出为14122109,代表选择1号线,购买3张票,需要总价12元,总共投入21元,总共找零09元。 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 3.3硬件实现 下载到FPGA芯片EPM570T100C5中进行硬件实现。 由于实验箱输入端有限,我们采用在前置一个计数器的做法,实现系统的输入。 系统引脚分派如表3-1所示: 表3-1 引脚分配表 输入端 引脚 输入端 引脚 road_in 33 com4 97 price_in 34 com5 98 quantity_in 35 com6 99 rd 36 com7 100 coin 27 seg0 81 queren 28 seg1 82 clk 62 seg2 83 com0 91 seg3 84 com1 92 seg4 85 com2 95 seg5 86 com3 96 seg6 87 硬件实现如下图所示: 图3-17 硬件实现图 首先向右拨动s208,rd为1,系统清零,然后向左拨动s208,rd端置0,向右拨动s205,代表选择1号线,向右数次拨动s206,代表选择票价,向右数次拨动s207,本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问代表选择购票数量。数次按动按键k201,代表投入的钱币数量,投币结束后按动按键k202,表示投币结束。此时数码管会相应显示出购票、投币、找零情况。 如图3-17所示,8位数码管显示:13122109,表示选择1号线,购买3张票,总价12元,共投入21元,找零9元。 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 结论 地铁售票系统是地铁系统中最为关键的系统之一,能够独立自主的实现旅客选择购买车票,投币购票等一系列功能。本次设计以FPGA为主控单元,设计了地铁售票系统,能够实现选择车票,投币,找零等购票过程。 主要完成了以下工作: 1)研究了国内外对于地铁售票系统、FPGA的研究现状,根据实际需求设计了相关功能。 2)主要研究了解了EDA技术、FPGA技术、VHDL语言、Quartets II等主要理论知识。主要了解他们的发展过程,特点及优势。 3)分模块进行研究,根据每个模块的具体要求编程,编译完成后生成符号文件,在顶层文件中将设计好的模块调用完成连线仿真。 4)下载到FPGA芯片EPM570T100C5中进行硬件实现,根据引脚分配规则对每个输入端分配引脚,上电完成硬件实现。 设计过程中对所用技术有了进一步的深入了解,基本完成了系统要求,能够独立完成自动售票的全部功能。 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 参考文献 [1]吕乐.地铁自动售票系统设计研究[J].数字化用户,2013,(11):105. [2]陈虹,张微.地铁自动售票系统交互设计研究[J].城市轨道交通研究,2012,(07):45-48. [3]刘昌华,数字逻辑,,,设计与实践[M]:MAX+plusII与Quarters II双剑合壁,北京出版社,2006.8. [4]曹瑞.EDA工具如何应对FPGA设计中的多重挑战[J].半导体技术,2007,32(7):626-628. [5]周华,王婓.EDA技术的特点与发展趋势[N].西安航空技术高等专科学校学报,2009:27-30. [6]潘松,黄继业. EDA技术使用教程[M],北京:科技出版社,2002.10-15 [7]As EDA Tool Complexity Grows, So Do Users' Education Needs[J]. Electronic Design,2009,17(9):l49-150. [8] 王彩凤,胡波,李卫兵,杜玉杰.EDA技术在数字电子技术实验中的应用[J].2011.2(9):5-7. [9]张颖.以太网旅游景点自动售检票系统[J].电子技术.2004(10): 25-27. [10]盛磊,徐科军,陈智渊.数字在屏幕显示控制核的设计与 FPGA 实现[J].上海交通大学学报, 2006, 40(5): 762-766. [11] 南希,龚龙庆,田卫.基于 FPGA 的动态可重构系统设计与实现[J].现代电子技术.2009.32(6): 4-7. [12]刘昌华,EDA技术综述[J],计算机与数字工程,2007(3):49-53. [13]王彩凤,EDA技术在数字电子技术实验中的应用[J],2011(9):4-7. [14]于宗光,魏敬和,王国章. SoC 和 FPGA 技术未来的发展趋势[J].电子与封装,2006, 6(3): 1-5. [15]俞吉波.FPGA实际可用性评估与发展趋势分析[J],计算机工程,2011,7(37):282-285. 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要 更多相关参考论文设计文档资源请访问 致谢 本课题在选题及研究过程中得到倪小琦老师的悉心指导。倪老师多次询问研究进程,并为我指点迷津,帮助我开拓研究思路,精心点拨、热忱鼓励。陆老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,而且教我做人,虽短短四年时间,却给以终生受益无穷之道。对倪老师的感激之情是无法用言语表达的。 在论文即将完成之际,我的心情无法平静,从开始进入课题到论文的顺利完成,有多少可敬的师长、同学、朋友给了我无言的帮助,在这里请接受我诚挚的谢意! 最后,要特别感谢我的父母,因为在我人生成长和求学的路上,是他们这么多年来一直对我默默的付出和无私的关爱,鼓励和支持我,看到他们的辛苦和努力,这是我学习的动力源泉。 本参考设计材料,包含项目源代码,屏幕录像指导、项目运行截图、项目设计说明书、任务书、报告书以及文献参考翻译等,完 整的设计文件及源代码,资料请联系68661508索要
本文档为【基于FPGA的地铁自动售票系统设计2】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_574951
暂无简介~
格式:doc
大小:350KB
软件:Word
页数:32
分类:生活休闲
上传时间:2018-02-27
浏览量:34