首页 EDA课程设计数字频率计

EDA课程设计数字频率计

举报
开通vip

EDA课程设计数字频率计常熟理工学院课程设计报告 课程设计报告 (理工类) 课程名称: 学生学号: 所属院部:学生姓名:指导教师:20学年第物理与电子工程学院EDA 实验室 常熟理工学院课程设计报告 目录 1.设计目的和要求......................................................................................................3 1.1课程设计目的与要求............................................

EDA课程设计数字频率计
常熟理工学院课程设计 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 课程设计报告 (理工类) 课程名称: 学生学号: 所属院部:学生姓名:指导教师:20学年第物理与电子 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 学院EDA 实验室 常熟理工学院课程设计报告 目录 1.设计目的和要求......................................................................................................3 1.1课程设计目的与要求.....................................................................................3 1.2课程设计内容.................................................................................................3 1.3设计原理.........................................................................................................3 2.仪器和设备..............................................................................................................5 2.1MAX+PLUSI软件介绍......................................................................................5 2.2MAX+PLUSⅡ软件组成.....................................................................................5 3.设计过程..................................................................................................................6 3.1设计内容和要求..............................................................................................6 3.2设计 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 和开发步骤......................................................................................6 3.2.1设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 ..............................................................................................6 3.2.2实验步骤...............................................................................................6 3.3设计思路..........................................................................................................7 3.4设计难点..........................................................................................................7 4.设计结果与分析......................................................................................................8 4.1实验结果..........................................................................................................8 4.1.1测频控制信号发生器..........................................................................8 4.1.2十进制计数器......................................................................................8 4.1.3锁存器..................................................................................................8 4.1.4动态扫描输出.......................................................................................9 4.1.5七段译码器...........................................................................................9 4.1.6顶层模块.............................................................................................10 4.2程序简要说明...............................................................................................10 4.2.1测频控制信号发生器的结构体VHDL源程序..................................10 4.2.2十进制加法计数器的结构体VHDL源程序......................................11 4.2.3锁存器的结构体VHDL源程序...........................................................12 4.2.4动态扫描的结构体VHDL源程序......................................................13 4.2.5数码管显示的结构体VHDL源程序..................................................13 4.2.6顶层模块波形仿真............................................................................15 5.实验小结................................................................................................................16参考文献......................................................................................................................17 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 1.设计目的和要求 1.1课程设计目的与要求 1.学会利用MAX+PLUSII进行层次化设计,并进行编译仿真; 2.掌握数字频率计电路的设计原理; 3.掌握运用VHDL语言进行系统设计的方法; 4.输入顶层电路图和下层设计文件; 5.利用仿真手段进行功能调试。 1.2课程设计内容 分析数字频率计的功能,完成功能模块的划分,分别用VHDL语言完成底层模块的设计和以原理图的方法完成顶层模块的设计,分别对各个模块以及顶层模块进行仿真分析,最后在硬件开发平台上进行测试。 1.3设计原理 频率信是常用的测量仪器,它通过对单位时间内的信号脉冲进行计数,从而测量出信号的频率。设计一个6位频率计,可以测量1~999999Hz的信号频率。 频率计工作时,先要生产一个计数允许信号即闸门信号,闸门信号的宽度为单位时间,例如1s。在闸门信号有效的时间内对被测信号计数,即为信号评率。测量过程结束,需要锁存计数值或留出一段时间显示测量值。下一次测量前,应该对计数器清零。频率计闸门时序如图1所示,闸门信号由闸门电路产生。计数显示清零计数 计数允许信号 清零信号 图1频率计闸门时序 频率计可以分为3个部分:闸门电路、计数器和显示电路。本实验中,闸门电路时钟为2Hz,产生的技术周期为1s,清零周期为0.5s,4s为一个周期测量一次信号频率。计数器由6个十进制计数器构成i端,受闸门电路控制。显示电 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 路利用实例7设计的6位扫描数码显示器,他的扫描时钟可以使用1kHz或10kHz的时钟。 数字频率计的关键组成部分包括测频控制发生器、计数器、锁存器、动态扫描输出,其原理框图如图2所示: 图2数字频率计原理框图 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 2.仪器和设备 PC机,MAX+PLUSI软件 2.1MAX+PLUSI软件介绍 MAX+PLUSⅡ开发工具是Altera公司推出的一种EDA工具,具有灵活高效、使用便捷和易学易用等特点。使用MAX+PLUSⅡ软件,设计者无需精通器件内部的复杂结构,只需用业已熟悉的设计输入工具,如硬件描述语言、原理图等进行输入即可,MAX+PLUSⅡ就会自动将设计转换成目标文件下载到器件中去。 MAX+PLUSⅡ开发系统具众多特点,如多平台、开放的界面、模块组合式工具软件、与结构无关、支持硬件描述语言、丰富的设计库等。使用MAX+PLUSI软件可以使我们在较短的时间内完成相应的内容。 2.2MAX+PLUSⅡ软件组成 MAX+PLUSⅡ软件采用模块化结构,包括设计输入、项目处理、项目校验和器件编程4个部分,所有这些部分都集成在一个可视化的操作环境下。 1、设计输入:MAX+PLUSⅡ的设计输入方法有多种,主要包括文本设计输入、原理图输入、波形设计输入等多种方式; 2、项目处理:设计处理的任务就是对项目进行编译,编译实际就是将设计者编写的设计改为可以用于生产的“语言”。编译器通过读入设计文件并产生用于编程、仿真和定时分析的输出文件来完成编译工作; 3、项目校验:MAX+PLUSⅡ提供的设计校验过程包括仿真和定时分析,项目编译后,为确保设计无误,要再用专用软件进行仿真。如果发现了错误,则应对设计输入进行部分修改直至无误; 4、器件编程:MAX+PLUSⅡ通过编程器将编译器生成的编程文件编程或配置到AlteraCPLD器件中,然后加入实际激励信号进行测试,检查是否达到了设计要求。 在设计过程中,如果出现错误,则需要重新回到设计输入阶段,改正错误或调整电路后重复上述过程。 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 3.设计过程 3.1设计内容和要求 本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,掌握频率计的原理。在掌握所学的计算机组成与结构课程理论知识时。通过对数字频率计的设计,进行理论与实际的结合,提高与计算机有关设计能力,提高分析、解决计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。 分析数字频率计的功能,完成功能模块的划分,分别用VHDL语言完成底层模块的设计和以原理图的方法完成顶层模块的设计,分别对各个模块以及顶层模块进行仿真分析,最后得出实验结果。 3.2设计方法和开发步骤 3.2.1设计方案 1、间接测频法(测周法): 测量单位时间内被测信号的周期数,即在闸门时间内对被测信号的脉冲进行计数。若闸门打开时间为T,被测信号通过闸门进入计数器的计数值为Nx,则可求的被测信号的频率fx=Nx/T,当闸门时间T=1s时,fx=Nx(Hz),即计数器计数值就是被测信号的频率值。当被测信号频率范围不同的时候,通常会选择不同的闸门时间,以得到较精确的测量结果。 2、直接测频法: 被测信号的频率fx应远大于产生闸门信号的基准频率,否则测频将产生较大的误差。此处仅以闸门时间T=1s为例进行设计,由于闸门信号的起始时间与被测信号的边沿不同步,因此计数结果会有+1或—1的误差,假设该误差可在允许范围内。 由于直接测频法适用于高频信号的频率测量,间接测频法适用于低频信号的频率测量。本设计采用了直接测量法,在一定闸门时间内测量被测信号的脉冲个数。 3.2.2实验步骤 打开MAX+PLUSII软件→新建.vdh文本文件→输入代码并保存→单击“file” 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 中的project→单击“Setprojecttocurrentfile”→单击“MAX+PLUII”中的Compiler进行编译→新建.scf波形文件并保存→选择“node”→单击“enternodesfromSNF”导入输入输出→单击“MAX+PLUII”中的“simulator”进行波形仿真。 3.3设计思路 采用VHDL语言设计一个复杂的电路系统,运用自顶向下的设计思想,将系统按功能逐层分割的层次化设计方法进行设计。在顶层对内部各功能块的连接关系和对外的接口关系进行了描述,而功能块的逻辑功能和具体实现形式则由下一层模块来描述。 先将各个子模块采用VHDL语言编程,并进行仿真与调试,然后通过这些子模块画出顶层模块,进行仿真,得出波形。 3.4设计难点 数字频率计的设计需要许多模块的组成,有闸门控制电路、十进制计数器、锁存器、动态扫描器和七段译码器。数字频率计的设计的工作量很大,当然在设计时碰到许多问题。 1、一开始没有思路,经过查看资料,知道测量频率有两种方案:测周法和测频率法。在做的时候要确定一个 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 时钟信号,根据一个时钟周期内的待测信号的个数,就可知道待测信号的频率。 2、在编写闸门控制电路的代码是遇到了困难,不知使能信号、清零信号、锁存信号要设置成多大。 3、由于要连的线很多,很容易连错。在做网络标号时要注意一定要点中此线,当你双击此线,可以看到它们是连在一起的 4、做出来的每一模块文件都要放在同一文件夹中,而且每一模块的代码都要进行编译,由于做的模块比较多,要记住编译之前要把编译的文件设置成当前文件才行。在做原理图时要改变某一模块的代码,改变后要重新编译才行。 5、做原理图仿真波形时,看不到波形。那是由于参数的设置不对,仿真时间变长,波形的频率设置的大一些,可以看到波形。由于软件的限制,看到的波形有所失真。 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 4.设计结果与分析 4.1实验结果 4.1.1测频控制信号发生器 闸门信号产生电路如图3所示,输入标准时钟信号,经过闸门信号电路产生使能信号T、清零信号RST和锁存信号LOAD。使能信号T和清零信号控制十进制的工作;而锁存信号LOAD 控制锁存器的工作。 图3测频控制信号发生器模块 4.1.2十进制计数器 为了显示方便,采用十进制计数器计数,其逻辑符号如图4所示。十进制计数器只有十个不同的状态,并按十进制进位规律进行计数。闸门控制电路产生的清零信号RST和使能信号T接至计数器CLR端和ENA端,控制十进制的清零和使能状态,而CLK端接的是待测信号。CO[3..0]输出的是出现上升沿的个数,当计数溢出时,CARRY_OUT数值从0变为1, 作为下一个十进制计数器的时钟信号。 图4十进制加法计数器模块 4.1.3锁存器 为了使显示结果稳定显示,需要将计数器每次记得的结果进行锁存,其逻辑符号如图5所示,闸门电路产生的LOAD接至锁存器的LOAD端;十进制计数器输出接至锁存器的DIN[3..0]端,而锁存器的输出端DOUT[3..0]作为动态扫描器的输入端。 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 图5锁存器模块 4.1.4动态扫描输出 动态扫描器使结果输出更加清晰,其逻辑符号如图6所示。动态扫描器的CLK端接一时钟信号,而COUNT1[3..0]……COUNT6[3..0]分别接对应的锁存器输出端。当CLK出现第一个上升沿时,输出COUNT1[3..0]中的数值,第二个上升沿时输出COUNT2[3..0]的数值,以此类推,当出现第七个上升沿的时候有输出 COUNT1[3..0],也就是说六个上升沿一个循环。 图6动态扫描输出模块 4.1.5七段译码器 七段译码器电路如图7所示,使输出的结果更加形象化。动态扫描器的DOUT[3..0]接至七段译码器的NUM[3..0]端,输出端输出的结果是把NUM[3..0] 数值转化成数码管显示的形式。 图7数码管显示模块 物理与电子工程学院EDA 实验室 常熟理工学院课程设计报告 4.1.6 顶层模块 图8顶层模块 4.2程序简要说明 4.2.1测频控制信号发生器的结构体VHDL源程序architecturebehavioroftestctlis signaldiv2clk:std_logic; begin process(clk) begin 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 ifclk'eventandclk='1'then div2clk<=notdiv2clk; endif; endprocess; process(clk,div2clk) begin if(clk='0'anddiv2clk='0')then rst<='1'; elserst<='0'; endif; endprocess; load<=notdiv2clk;cnt<=div2clk; endbehavior; 仿真波形: 图9测频控制信号发生器仿真波形 4.2.2十进制加法计数器的结构体VHDL源程序architectureartofcnt10is signalcqi:std_logic_vector(3downto0); begin process(clk,clr,ena)is begin ifclr='1'thencqi<="0000"; elsifclk'eventandclk='1'then ifena='1'then ifcqi="1001"thencqi<="0000"; elsecqi<=cqi+'1'; endif; endif; 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 endif; endprocess; process(cqi)is begin ifcqi="0000"thencarry_out<='1';else carry_out<='0'; endif; endprocess; co<=cqi; endarchitectureart; 仿真波形: 图10十进制仿真波形 4.2.3锁存器的结构体VHDL源程序architecturebehaviorofreg4bis begin process(load,din) begin ifload'eventandload='1'then dout<=din; endif; endprocess; endbehavior; 锁存器波形仿真: 图11锁存器波形仿真 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 4.2.4动态扫描的结构体VHDL源程序architectureartofscanis signalc:std_logic_vector(2downto0); begin process(clk)is begin if(clk'event)andclk='1'then c<=c+1; casecis when"001"=>s<="000";dout<=count1;when"010"=>s<="001";dout<=count2;when"011"=>s<="010";dout<=count3;when"100"=>s<="011";dout<=count4;when"101"=>s<="100";dout<=count5; when"110"=>s<="101";dout<=count6;c<="001";whenothers=>s<="000";dout<="0000";endcase; endif; endprocess; endart; 动态扫描波形仿真: 图12动态扫描波形仿真 4.2.5数码管显示的结构体VHDL源程序architectureartofdeledis 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告 signalled:std_logic_vector(6downto0);begin process(num) begin casenumis when"0000"=>led<="1111110";when"0001"=>led<="0110000";when"0010"=>led<="1101101";when"0011"=>led<="1111001";when"0100"=>led<="0110011";when"0101"=>led<="1011011";when"0110"=>led<="1011111";when"0111"=>led<="1110000";when"1000"=>led<="1111111";when"1001"=>led<="1111011";when"1010"=>led<="1110111";when"1011"=>led<="0011111";when"1100"=>led<="1001110";when"1101"=>led<="0111101";when"1110"=>led<="1001111";whenothers=>led<="1000111";endcase; endprocess; a<=led(6);b<=led(5);c<=led(4);d<=led(3);e<=led(2);f<=led(1);g<=led(0); endart; 数码管显示波形仿真: 物理与电子工程学院EDA实验室 常熟理工学院课程设计报告图13数码管显示波形仿真 4.2.6 顶层模块波形仿真 图14顶层模块波形仿真物理与电子工程学院EDA 实验室 常熟理工学院课程设计报告 5.实验小结 本设计采用EDA技术,利用测频法的原理和VHDL语言,采用自上向下的设计方法,实现了一个可以测量1~999999Hz的信号频率6位频率计。,并在MAX+PLUSⅡ软件平台下对设计项目进行的了编译和时序仿真。实验结果表明,该系统能够满足本次设计的要求,并且具有测量误差小,可靠性高的优点。本文的设计工作能作为电子测量与仪表技术的基础,为计算机、通讯设备、音频视频等科研生产领域提供较好的参考。 通过这个课程设计,我发现自己有很多不足,存在着知识上的漏洞。同时也看到了自己的实践经验还是比较缺乏,理论联系实际的能力还急需提高。这次课程设计让我学到了很多,不仅是巩固了先前学的EDA技术的理论知识,而且也培养了我的动手能力,更令我的创造性思维得到拓展。在课程设计中一个人的力量是远远不够的,真正的完成任务需要共同的智慧与劳动,团结协作是我们成功的一项非常重要的保证。 还有一点是我们做任何事情都无法缺少的,那就是细心认真。此次设计我们就深深地体会到了,由于编程的时候没有做到足够的细心,导致一串代码弄混了。但是密密麻麻的英文字母混在一起,我始终没有发现。最终在调试的时候,就出现了问题。只知道出现了问题,就是不知道到问题的根源在哪里,好长时间都没有找出问题的所在。这也让我真正的明白了,科学的严谨性,它不允许出半点差错,否则后果会是比较麻烦的。做其他事情也一样,都需要我们付出足够的认真去对待,才能顺利的完成。 对我而言,知识上的收获重要,精神上的丰收更加可喜。让我知道了学无止境的道理。我们每一个人永远不能满足于现有的成就,人生就像在爬山,一座山峰的后面还有更高的山峰在等着你。挫折是一份财富,经历是一份拥有。这次课程设计必将成为我人生旅途上一个非常美好的回忆! 物理与电子工程学院EDA实验室
本文档为【EDA课程设计数字频率计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_270070
暂无简介~
格式:doc
大小:226KB
软件:Word
页数:15
分类:高中英语
上传时间:2017-06-02
浏览量:47