首页 光控数字温度时钟课程设计报告

光控数字温度时钟课程设计报告

举报
开通vip

光控数字温度时钟课程设计报告 序号: 20 光电技术课程设计 题目: 光控数字温度时钟 学生 王较军 班级 电子2011-2BF 学号 物理与电子学院 专业 电子科学与技术 指导老师 梅孝安 职称 副教授 完成时间 2015年6月12日(湖南理工学院物理与电子学院)整体设计思想本次实验采用通用51单片机(AT89C51)。DS1302作为基本时钟,并带有蜂鸣器模块,实现报时闹铃功能。使用TLC1543芯片(10位串行A/D)作为温度光强采集模块。温度采集采用热敏电阻、光强采集采用光敏电阻。亮度控制采用D/A输出(DAC0...

光控数字温度时钟课程设计报告
序号: 20 光电技术课程 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 目: 光控数字温度时钟 学生 王较军 班级 电子2011-2BF 学号 物理与电子学院 专业 电子科学与技术 指导老师 梅孝安 职称 副教授 完成时间 2015年6月12日(湖南理工学院物理与电子学院)整体设计思想本次实验采用通用51单片机(AT89C51)。DS1302作为基本时钟,并带有蜂鸣器模块,实现报时闹铃功能。使用TLC1543芯片(10位串行A/D)作为温度光强采集模块。温度采集采用热敏电阻、光强采集采用光敏电阻。亮度控制采用D/A输出(DAC0808),采用LED数码管动态显示。出于方便、高效考虑,设置两个按钮一为功能键,一为加键。系统硬件电路设计(本设计硬件总设计图如图1所示。温度由热敏电阻采集经处理后转换为温度显示,光强每经过一段时间间隔由光敏电阻采集一次,转换为数字信号后通过DACO8O8芯片控制(D\A输出)达到调节LED数码管显示亮度的目的。图1设计总电路图DS1302模块DS1302模块以DS1302时钟芯片为主体构成,用于基本的时间显示。其硬件结构图如图2所示。DS1302是美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟电路,它可以对年、月、日、周日、时、分、秒进行计时,具有闰年补偿功能,,且具有闰年补偿等多种功能。DS1302有12个寄存器,其中有7个寄存器与日历、时钟相关,存放的数据位为BCD码形式。工作电压为2.5V~5.5V。采用三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号或RAM数据。DS1302内部有一个31×8的用于临时性存放数据的RAM寄存器。缺点是时钟精度不高,易受环境影响,出现时钟混乱。模块软件设计见设计报告系统程序设计部分。图2DS1302模块电路图数码管及数码管驱动模块数码管模块如图3所示:图3数码管模块在数码管显示上将第三个数码管反向安置,使得第二、第三个数码管的小数点位组成一对,实现时钟的秒显示功能。第三个数码管译码表:0xc0,0xcf,0xa4,0x86,0x8b,0x92,0x90,0xc7,0x80,0x82,0x70数码管驱动模块,如图4,图5所示:图4数码管驱动模块图5数码管驱动模块其中,DAC0808用于实现亮度调节(D\A转换),温度光强采集模块模块结构如图6所示图6温度光强采集模块采集温度用热明电阻,热敏电阻的主要特点是:①灵敏度较高,其电阻温度系数要比金属大10~100倍以上,能检测出10-6℃的温度变化;②工作温度范围宽,常温器件适用于-55℃~315℃,高温器件适用温度高于315℃(目前最高可达到2000℃),低温器件适用于-273℃~-55℃;③体积小,能够测量其他温度计无法测量的空隙、腔体及生物体内血管的温度;④使用方便,电阻值可在0.1~100kΩ间任意选择;⑤易加工成复杂的形状,可大批量生产;⑥稳定性好、过载能力强。采集光强使用光敏电阻,光敏电阻的工作原理是基于内光电效应。在半导体光敏材料两端装上电极引线,将其封装在带有透明窗的管壳里就构成光敏电阻,为了增加灵敏度,两电极常做成梳状。用于制造光敏电阻的材料主要是金属的硫化物、硒化物和碲化物等半导体。通常采用涂敷、喷涂、烧结等 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 在绝缘衬底上制作很薄的光敏电阻体及梳状欧姆电极,接出引线,封装在具有透光镜的密封壳体内,以免受潮影响其灵敏度。入射光消失后,由光子激发产生的电子—空穴对将复合,光敏电阻的阻值也就恢复原值。在光敏电阻两端的金属电极加上电压,其中便有电流通过,受到一定波长的光线照射时,电流就会随光强的增大而变大,从而实现光电转换。光敏电阻没有极性,纯粹是一个电阻器件,使用时既可加直流电压,也加交流电压。半导体的导电能力取决于半导体导带内载流子数目的多少。蜂鸣器和按键模块图7为蜂鸣器模块实现闹铃,整点报时功能。图8为按键模块,K1为加键用于调节数据的大小,K2为功能键用于实现不同功能的转换。图7为蜂鸣器模块图8按键模块系统软件设计主程序#include<reg52.h>#include"shu_ma_guan.h"#include"myds1302.h"#include"key.h"#include"naozhong.h"#include"TLC1543.h"#include<math.h>uinttemperature_convert(void);voidbrilliance_control(void);intmain(void){uinttemporary_temp=0;TMOD=0x11;//T0,T1均工作于方式1(16位定时/计数),软件启动TH0=(65535-50000)/256;//一次中断时间为50ms(12MHz下)TL0=(65535-50000)%256;TH1=(65535-50000)/256;//一次中断时间为50ms(12MHz下)TL1=(65535-50000)%256;EA=1;//开总中断ET0=1;//开定定时器T0中断ET1=1;//开定定时器T1中断TR1=1;//打开定时器T1,隔一段时间调节一次亮度TLC1543_Init();//初始化TLC1543Read_Time();Write_Time();//设置初始时间brilliance_control();//亮度初始设置while(1)//while{alarm_clock();//闹钟判别,与撤消set_key_scan();//设置键扫描,获取状态state信息switch(state){case0://显示时间Read_Time();//读取时间信息,存放在全局calendar结构对象Time中if((Time.DS1302_miao<0x25&&Time.DS1302_miao>=0x20)||(Time.DS1302_miao<0x50&&Time.DS1302_miao>=0x45))//20-25/45-50秒间显示温度{temporary_temp=temperature_convert();//将TLC1543转换的数字是转换成对应的温度xianshi_num(temporary_temp);}else{shijian_xianshi(Time.DS1302_shi,Time.DS1302_feng);//显示时间}break;case1://调整闹钟case2:case3:tiao_naozhong();break;case4://调整时间case5:tiaoshi();break;default:break;}//endswitch}//endwhilereturn0;}//将TLC1543转换的数字是转换成对应的温度//参数://返回:参数对应的温度uinttemperature_convert(void){floattemp_num=0;TR1=0;temp_num=TLC1543_ReadADC(0x00);TR1=1;//对采集到的数字量作处理temp_num=10*temp_num*5.0/1024;//10倍电压//matlabpolyfit最小二乘法曲线拟合(4阶)temp_num=0.000035*pow(temp_num,4)-0.005092*pow(temp_num,3)+0.262544*pow(temp_num,2)-7.884431*temp_num+123.031448;return(uint)(temp_num*10);}//亮度控制//说明:调用TLC1543_ReadADC(1)通道1获取光敏电阻的AD转换值,//TLC5615_WriteDAC(xxx)进行DA转换//参数:无//返回:无voidbrilliance_control(void){uinttemp=0;temp=TLC1543_ReadADC(0x01);//对获取的AD值处理temp=temp/4;//将10位数字量转换为8位数字量temp=100*temp*5.0/256;//100倍采集到的电压值if(temp>59)//弱光P3=125;elseif(temp>28)//一般光强P3=175;elseP3=255;P3=(uchar)temp;//送到DAC0808转换,控制亮度}voidTimer_T1(void)interrupt3//T1中断服务程序{staticuintnum_time=0;//计数器TR1=0;TH1=(65535-50000)/256;//一次中断时间为50ms(12MHz下)TL1=(65535-50000)%256;num_time++;if(num_time%10==0)//控制时间显示时小数点的闪烁{twinkle=!twinkle;}if(num_time>=20)//中断20次,每1s调节一次亮度{num_time=0;brilliance_control();//亮度控制}TR1=1;}DS1302程序#include"myds1302.h"#include"shu_ma_guan.h"sbitSDA=P1^5;//DS1302双向数据线sbitSCLK=P1^6;//时钟线sbitRST=P1^7;//控制线//以BCD码存放时间信息structcalendarTime={0x05,0x59,0x50};/***********************///从DS1302读出一个字节//参数:无//返回:读取的字节ucharDS1302ReadByte(void){uchari=0;uchardate=0;//读取的字节_nop_();for(i=0;i<8;i++){date=date>>1;//从低位至高位读入if(SDA)//读出1{date|=0x80;}SCLK=1;//产生上升沿_nop_();SCLK=0;_nop_();}returndate;}/***********************///向DS1302写入一个字节//参数:uchardat要写入的字节//返回:无voidDS1302WriteByte(uchardat){uchari=0;SCLK=0;_nop_();for(i=0;i<8;i++){SDA=dat&0x01;//DS1302数据是从低位开始传输的_nop_();SCLK=1;//产生上升沿_nop_();SCLK=0;dat=dat>>1;//将高位移至低位}}/***********************///从DS1302读数据//说明:先写要读数据地址,再读数据//参数:ucharcmd要写入的控制字(数据所在的地址)//返回:读取的数据ucharDS1302Read(ucharcmd){uchardat=0;RST=0;//初始CE线置为0SCLK=0;//时钟拉低_nop_();RST=1;//CE线拉高,开始传输DS1302WriteByte(cmd);//传输命令字,要操作的时间/日历的地址dat=DS1302ReadByte();//读取数据SCLK=1;RST=0;//读取结束,CE置为0,结束数据传输returndat;}/***********************///向DS1302写数据//说明:先写地址,再写数据//参数:ucharcmd要写入的控制字,uchardat要写入的数据//返回:无voidDS1302Write(ucharcmd,uchardat){RST=0;//初始CE线置为0SCLK=0;//时钟拉低_nop_();RST=1;//CE线拉高,开始传输DS1302WriteByte(cmd);//传输命令字,要写入的时间/日历的地址DS1302WriteByte(dat);//要写入的日期/时间SCLK=1;//时钟拉高RST=0;//读取结束,CE置为0,结束数据传输}//从DS1302读出时间参量//说明:全局结构体变量Time用于存放时间参量//参数:无//返回:无voidRead_Time(void){Time.DS1302_shi=DS1302Read(READ_Hour);//读取小时Time.DS1302_feng=DS1302Read(READ_Minutes);//读取分钟Time.DS1302_miao=DS1302Read(READ_Seconds);//读取秒数//对读取的时间进行有效处理(去除掉与时间信息无关的位的影响)if(Time.DS1302_shi>0x23)Time.DS1302_shi=0;//如果使用12小时制,则需要对读取的时间进行处理if(Time.DS1302_feng>0x59)Time.DS1302_feng=0;if(Time.DS1302_miao>0x59)Time.DS1302_miao-=0x80;//暂停模式下秒的最高位为1}//将Time结构中的时间参量写入DS1302//说明:全局结构体变量Time用于存放时间参量//参数:无//返回:无voidWrite_Time(void){if(Time.DS1302_shi>0x23)Time.DS1302_shi=0;if(Time.DS1302_feng>0x59)Time.DS1302_feng=0;if(Time.DS1302_miao>0x59)Time.DS1302_miao=0;DS1302Write(WRITE_WP,WP_OFF);//写保护关,(否则不能写入)DS1302Write(WRITE_Hour,Hour_24(Time.DS1302_shi));//设置小时DS1302Write(WRITE_Minutes,Time.DS1302_feng);//设置分钟DS1302Write(WRITE_Seconds,Time.DS1302_miao);//设置秒DS1302Write(WRITE_WP,WP_ON);//写保护开}数码管模块程序#defineLED_OFF1#include"shu_ma_guan.h"#include"myds1302.h"#defineLED_ON0sbitT_shi_s=P2^0;sbitT_shi_g=P2^1;sbitT_feng_s=P2^2;sbitT_feng_g=P2^3;//0~9共阳极字形码(不带点),减0x80对应数值表示显示小数点ucharcodetable0[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90};//0~9共阳极字形倒码(不带点)ucharcodetable1[]={0xc0,0xcf,0xa4,0x86,0x8b,0x92,0x90,0xc7,0x80,0x82,0x70};//'*C'uchartwinkle=1;//控制时间显示时小数点的闪烁/*************************///功能显示一位数据//参数:ucharnum要显示的数据,ucharzf是否带点(0不带,1带)//ucharliangdu显示时间用于控制亮度取(共NUMms,显示liangdu,灭NUM-liangdu)//返回:无voidxianshi_yiwei(ucharnum,ucharzf,ucharliangdu){if(zf)//带点显示{P0=table0[num]-0x80;}else//不带点显示{P0=table0[num];}delay_ms(liangdu);P0=0xff;//关闭显示,消影delay_ms(NUM-liangdu);}/*************************///功能显示一位数据(用倒置的数码管)//参数:ucharnum要显示的数据,ucharzf是否显示点(0--不显示,1--显示),//ucharliangdu显示时间用于控制亮度取(共NUMms,显示liangdu,灭NUM-liangdu)//返回:无voidxianshi_yiwei_dao(ucharnum,ucharzf,ucharliangdu){if(zf!=0)//带点显示{P0=table1[num]-0x80;}else//不带点显示{P0=table1[num];}delay_ms(liangdu);P0=0xff;//关闭显示,消影delay_ms(NUM-liangdu);}/**************************///功能:显示时间//参数:ucharshi小时,ucharfen分钟,ucharmiao//返回:无voidshijian_xianshi(ucharshi,ucharfen){uchartemp=0;//分离个十位//显示小时if(Time.DS1302_shi>0x09){temp=shi/16;//十进制转换为BCD码T_shi_s=LED_ON;//数码管小时十位开xianshi_yiwei(temp,0,NUM);T_shi_s=LED_OFF;//数码管小时十位关}T_shi_g=LED_ON;temp=shi%16;xianshi_yiwei(temp,twinkle,NUM);T_shi_g=LED_OFF;//显示分钟T_feng_s=LED_ON;temp=fen/16;xianshi_yiwei_dao(temp,twinkle,NUM);T_feng_s=LED_OFF;T_feng_g=LED_ON;temp=fen%16;xianshi_yiwei(temp,alarm_on_off,NUM);T_feng_g=LED_OFF;}/**************************///功能:显示调整状态时的时间(要调的两位闪烁--调时,要调的一位闪烁--调闹钟)//参数:ucharshi小时,ucharfen分钟,ucharmiao秒,ucharclock_alarm调的是时间还是闹钟//返回:无voidxianshi_shijian_adjust(ucharshi,ucharfen,ucharclock_alarm){staticucharfrequency=0;//用于闪烁控制uchartemp=0;//分离个十位ucharliang_mie_shi=NUM;//默认亮ucharliang_mie_feng=NUM;//默认亮frequency++;if(frequency>40)//如果闪烁太快人眼可能看起来是一直亮的{frequency=0;}else{if(frequency>25)//frequency不要用于控制秒闪烁,秒闪烁频率小于点switch(state)//根据状态控制不同的位闪烁{case1://闹钟的小时case4://时间的小时liang_mie_shi=0;break;case2://闹钟的分钟case5://时间的分钟liang_mie_feng=0;break;default:break;}}if(Time.DS1302_shi>0x09){//显示小时temp=shi/16;//十进制转换为BCD码T_shi_s=LED_ON;if(clock_alarm==ADJUS_CLOCK)xianshi_yiwei(temp,0,liang_mie_shi);//调时间闪烁elseif(clock_alarm==ADJUS_ALARM)//调闹钟不闪烁xianshi_yiwei(temp,0,NUM);T_shi_s=LED_OFF;}T_shi_g=LED_ON;temp=shi%16;xianshi_yiwei(temp,1,liang_mie_shi);T_shi_g=LED_OFF;//显示分钟T_feng_s=LED_ON;temp=fen/16;if(clock_alarm==ADJUS_CLOCK)//调时间闪烁xianshi_yiwei_dao(temp,1,liang_mie_feng);elseif(clock_alarm==ADJUS_ALARM)//调闹钟不闪烁xianshi_yiwei_dao(temp,1,NUM);T_feng_s=LED_OFF;T_feng_g=LED_ON;temp=fen%16;xianshi_yiwei(temp,alarm_on_off,liang_mie_feng);T_feng_g=LED_OFF;}//显示带一位小数的温度//说明:xianshi_temperature(10)则显示10//参数:uintnum要显示的数//返回:无voidxianshi_num(uintnum){uchari=0;ucharj=0;/////j=num%10;////num/=10;//////显示*C(温度单位)T_feng_s=LED_ON;xianshi_yiwei_dao(10,0,NUM);//10---*CT_feng_s=LED_OFF;//低位至高位i=num%10;T_shi_g=LED_ON;xianshi_yiwei(i,0,NUM);T_shi_g=LED_OFF;num/=10;if(num>0){i=num%10;T_shi_s=LED_ON;xianshi_yiwei(i,0,NUM);T_shi_s=LED_OFF;}T_feng_g=LED_ON;////xianshi_yiwei(j,0,NUM);///T_feng_g=LED_OFF;///}(4)闹钟模块程序#include"naozhong.h"#defineBEEP_ON0#defineBEEP_OFF1sbitBEEP=P2^6;//蜂呜器ucharintegral_point_flag=0;//1--整点,0--闹钟//定义结构体用于存放闹钟时间structcalendarNaozhong={0x06,0x30,0x00};ucharalarm=0;//用于标记闹钟是否在响(1--在响,0-没响)//蜂呜器响voidbeep_on(void){BEEP=BEEP_ON;//打开蜂鸣器}//蜂呜器关voidbeep_off(void){BEEP=BEEP_OFF;//关闭蜂鸣器}/*********************///是否到了设定闹钟时间voidalarm_clock(void){if(Time.DS1302_shi>=0x06&&Time.DS1302_shi<=0x19&&0==Time.DS1302_feng&&0==Time.DS1302_miao)//整点报时{integral_point_flag=1;TR0=1;//打开T0定时器可用于控制整点报时响的次数}if(alarm_on_off==ALARM_ON)//闹钟打开{//闹钟;闹钟响一段时间自动关闭或者闹钟响后手动关闭if(Time.DS1302_shi==Naozhong.DS1302_shi&&Time.DS1302_feng==Naozhong.DS1302_feng&&Time.DS1302_miao==Naozhong.DS1302_miao){integral_point_flag=0;alarm=1;//闹钟时间到beep_on();//打开蜂呜器;在按键扫描中关闭TR0=1;//打开T0定时器可用于控制闹钟响的时间}}}voidTimer_T0(void)interrupt1//T0中断服务程序,用于闹钟报时{staticucharnumx=0;//整点报时计数器staticuintnum_niao=0;//闹钟计数器staticucharhour=0;//小时数staticnum=0;//计数器,增值键和设置键被按下的时间TR0=0;TH0=(65535-50000)/256;//一次中断时间为50ms(12MHz下)TL0=(65535-50000)%256;if(state!=0)//设置状态下,计算增值键和设置键被按下的时间{num++;if(num>=2)//发生2次中断{num=0;key_long_press++;//增值键按下时间增加}}elseif(integral_point_flag==0)//闹钟状态{num_niao++;if(num_niao>1200)//闹钟响了一分钟则关闭{integral_point_flag=2;//报时标志置于空闲num_niao=0;//计数器清零alarm=0;//取消闹钟TR0=0;//关闭定时器T0BEEP=BEEP_OFF;//关闹钟}}elseif(integral_point_flag==1)//整点报时状态{numx++;if(numx%10==0){BEEP=~BEEP;if(Time.DS1302_shi>0x12){hour=Time.DS1302_shi-0x12;//13点换成一点.}else{hour=Time.DS1302_shi;}hour=10*(hour/16)+hour%16;//BCD码表示的时间转换为10进制时间if(numx>20*hour){TR0=0;//关闭定时器T0BEEP=BEEP_OFF;//关闭蜂鸣器integral_point_flag=2;//报时标志置于空闲numx=0;//计数器清零}}}TR0=1;}TLC1543程序#include"TLC1543.h"sbitTLC1543_SDO=P1^0;//TLC1543串行数据线sbitTLC1543_ADDR=P1^1;//TLC1543地址线(通道选择线)sbitTLC1543_CS=P1^2;//TLC1543片选线(低电平有效)sbitTLC1543_CLK=P1^3;//TLC1543时钟线sbitTLC1543_EOC=P1^4;//TLC1543转换完成//TLC1543初始化voidTLC1543_Init(void){uchari=0;TLC1543_CS=1;//不选中TLC1543TLC1543_CLK=0;}/************************///读取第ain通道的ADC转换值//参数:ucharain转换通道0--10//返回:转换的数字值uintTLC1543_ReadADC(ucharain){uintdat=0;uchari=0,j=0;ucharch=0;//ain<<=4;//从高位开始传输ch=ain;//因为CPU读入的数据是芯片上次A/D转换完成的数据。//所以在使用多通道时至少读两次同一通道才能读到该通道的值for(i=0;i<2;i++){dat=0;ch=ain;//TLC1543_EOC=1;TLC1543_CS=1;//关闭片选TLC1543_CS=0;//打开片选TLC1543_CLK=0;for(j=0;j<10;j++){TLC1543_ADDR=(bit)(ch&0x80);//写地址ch<<=1;dat<<=1;TLC1543_SDO=1;//注意:P1口作输入口使用时,一定要先向其写1if(TLC1543_SDO){dat+=1;}TLC1543_CLK=1;//上升沿,地址写入TLC1543_nop_();_nop_();_nop_();TLC1543_CLK=0;//下降沿}while(!TLC1543_EOC);//等待转换完成}TLC1543_CS=1;//关闭片选returndat;}(6)#include"user.h"//延时xms(毫秒)voiddelay_ms(uintxms){uchari=0;while(xms--){for(i=120;i>0;i--);}}设计仿真结果时钟显示:温度显示:使用通用51单片机与专用单片机的区别AT89C51是一种带4K字节FLASH存储器的低电压、高性能CMOS8位微处理器,128字节内部RAM,32个I/O口线,两个16位定时/计数器,一个5向量两级中断结构,一个全双工串行通信口,片内振荡器及时钟电路。同时,AT89C51可降至0Hz的静态逻辑操作,并支持两种软件可选的节电工作模式。空闲方式停止CPU的工作,但允许RAM,定时/计数器,串行通信口及中断系统继续工作。由于应用广泛,所以价格低廉,性能可靠。STC15F204EA单片机是宏晶科技推出的新一代超低价A/D转换单片机,1个时钟/机器周期,高速、高可靠,8路10位高速A/D转换,内部高精度R/C时钟,±1%温飘,彻底省掉外部昂贵的晶振,5MHz~35MHz宽范围可设置。•内部高精度R/C时钟,时钟从5M~35MHz可选;•内部高可靠复位,彻底省掉外部复位电路;•8通道,10位高速ADC,速度可达30万次/秒;•通用I/O口(26个),可设置成四种模式:准双向口/弱上拉,推挽/强上拉,仅为输入/高阻,开漏实物展示零件图成品图 心得体会 决胜全面小康心得体会学党史心得下载党史学习心得下载军训心得免费下载党史学习心得下载 随着科技带给人们生活上的便利越来越多,人们对数字时钟的要求也越来越高,多功能数字钟不管在性能还是在样式上都发生了质的变化,本次课程设计,由单片机作为数字钟的核心控制器,可以通过它的时钟信号进行计时实现整点报时、闹铃、温度显示、亮度调节等功能,将数据经单片机输出,利用四个LED数码管显示出来。 这次设计对我的理论和实践相结合的能力是一次提高,增加了把理论用于实践的兴趣,同时也提高了我 分析 定性数据统计分析pdf销售业绩分析模板建筑结构震害分析销售进度分析表京东商城竞争战略分析 问题和解决问题的能力。同时有人让我看到了跟多的不足,让我认识到了学习好C语言与单片机的必要。书山有路勤为径,通过这一次的课程设计之后,我以后会更加努力,用严谨的科学态度去面对一切。克服困难,战胜自我。PAGE1
本文档为【光控数字温度时钟课程设计报告】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_598372
暂无简介~
格式:doc
大小:3MB
软件:Word
页数:24
分类:建筑/施工
上传时间:2019-11-18
浏览量:34