首页 EDA数字频率计

EDA数字频率计

举报
开通vip

EDA数字频率计EDA数字频率计 题目: 基于EDA的数字频率计的设计 专 业: 电气工程及其自动化 班 级: 学 号: 学生姓名: 指导教师: 2011年 5 月 20 日 信息工程学院课程设计(论文) 信息工程学院课程设计任务书 电气工程及其自学 号 学生姓名 专业(班级) 动化( ) 设计题目 基于EDA的数字频率计的设计 设 计 技 (1)设计能测量方波的频率计 术 (2)测量频率范围是0~999999Hz 参 数 设 (1)绘制频率计原理框图;(2)计数器模块用Protel软件绘制原理图...

EDA数字频率计
EDA数字频率计 题目: 基于EDA的数字频率计的 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 专 业: 电气工程及其自动化 班 级: 学 号: 学生姓名: 指导教师: 2011年 5 月 20 日 信息工程学院课程设计( 论文 政研论文下载论文大学下载论文大学下载关于长拳的论文浙大论文封面下载 ) 信息工程学院课程设计任务书 电气工程及其自学 号 学生姓名 专业(班级) 动化( ) 设计题目 基于EDA的数字频率计的设计 设 计 技 (1)设计能测量方波的频率计 术 (2)测量频率范围是0~999999Hz 参 数 设 (1)绘制频率计原理框图;(2)计数器模块用Protel软件绘制原理图和PCB图; 计 (3)仿真,观察效果. 要 求 工 作 5000字左右,图纸12张 。 量 工 11周:图书馆查阅相关资料; 作 11周:系统总体设计构思; 计 12周:系统详细设计; 划 12周:整理形成设计 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 。 【1】赵明富,田泽正.EDA技术与实践【M】.北京:清华大学出版社,2005. 【2】 朱运航.EDA技术基础【M】.武汉:华中科技大学出版社,2004 参 【3】 郭勇.EDA技术基础【M】.北京:机械工业出版社,2005 考 【4】 潘松,黄继业.EDA技术实用教程【M】.北京:科学出版社,2002 资 【5】 黄正瑾.CPLD系统设计技术入门与应用【M】.北京:电子工业出版社,料 2002 指导教师签字 2011年5月20日 1 信息工程学院课程设计(论文) 信息工程学院课程设计成绩评定表 学生姓名: 学号: 专业(班级): 课程设计题目: 基于EDA的数字频率计的设计 指导教师评语: 成绩: 指导教师: 年 月 日 2 信息工程学院课程设计(论文) 摘 要 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量器。频率计 最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率 f=N/T。本文采用计算机软件MAX-PLUS 2进行频率计的设计,测量信号在1s时间的周期数 来得到信号的频率。本文所设计的频率计设计思路简单,可操作性强,易于实现,具有很好 的实际意义。 关键词:EDA、频率计、信号、仿真 Abstract Also known as frequency frequency meter counter and is a kind of special to be measured signal frequency measurement of electronic measurement device. The frequency of the most basic working principle is: when the measured signal within the specified period T for N, periodic number is measured signal frequency f = N/T. This paper USES computer software MAX - PLUS 2 undertake frequency plan design, measuring signal 1s number of cycles in time to get the signal frequency. This paper designed by the frequency meter design idea is simple, the maneuverability is so easy to realize, has the very good practical significance. In the study Key words: EDA、Frequency meter、Signal、Simulation 3 目 录 1引言................................................................................................................................................................. 5 2 总体设计........................................................................................................................................................ 6 3 详细设计........................................................................................................................................................ 6 3.1 硬件设计 ............................................................................................................................................ 6 3.1.1 测评信号发生器 ............................................................................................................................ 6 3.1.2 计数器 ............................................................................................................................................ 6 3.1.3 数码管显示 .................................................................................................................................... 8 3.2 软件设计 ............................................................................................................................................ 8 3.2.1 分频器模块 .................................................................................................................................... 8 3.2.2七段数码管显示模块 ..................................................................................................................... 9 3.2.3计数器模块 ................................................................................................................................... 10 4 总结 初级经济法重点总结下载党员个人总结TXt高中句型全总结.doc高中句型全总结.doc理论力学知识点总结pdf .............................................................................................................................................................. 10 参考文献.......................................................................................................................................................... 11 信息工程学院课程设计(论文) 1引言 EDA 技术是以大规模可编程逻辑器件为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件设计的电子系统到硬件系统的设计,最终形成集成电子系统或专用集成芯片的一门新技术,其设计的灵活性使得EDA 技术得以快速发展和广泛应用。数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。本文以Max + Plus ?软件为设计平台,运用VHDL语言实现数字频率计的整体设计。 5 信息工程学院课程设计(论文) 2 总体设计 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。所谓频率,就是周期性信号在单位时间内的变化次数。 频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,即闸门时间为1s。闸门时间可以根据需要取值,大于或小于1s 都可以。闸门时间越长,得到的频率值就越准确,但闸门时间越长,则每测一次频率的间隔就越长。闸门时间越短,测得的频率值刷新就越快,但测得的频率精度就受影响。本文采用1s作为闸门时间,即用计数器在计算1s内输入信号周期的个数,其测频范围为0Hz~999999Hz。 本数字频率计的关键组成部分包括测频控制信号发生器、计数器、锁存器、译码驱动电路和显示电路,其原理框图如图1所示: 待测信号 计数器 数码管显示 测频控制信号发生器 图1 数字频率计原理框图 3 详细设计 由系统结构框图可知,系统主要包括实现模/数转换的A/D模块、掉电时存放程序的Flash模块、为DSP提供电源的外部电源模块、时钟模块,复位电路模块、实现程序下载的JTAG接口模块以及外接扩展存储器等几个部分.系统结构框图如图2-1所示。本章将详细介绍各模块的硬件设计以及软件设计。 3.1 硬件设计 3.1.1 测评信号发生器 测频控制信号发生器产生测量频率的控制时序,是设计频率计的关键。这里控制信号clk 取为1000Hz,分频后要得到一个脉宽为1s的时钟信号q ,用来作为计数闸门信号。当q 为高电平时开始计数;在q的下降沿时停止计数,并且产生清零信号clear ,为下次计数做准备。clear 信号是低电频有效。 3.1.2 计数器 本文所设计的频率计的频率范围是0Hz~999999H,因此计数器采用6个十进制计数器的级联来进 6 信息工程学院课程设计(论文) 行计数。计数器模块的电路连接图如图2所示: 如图2:计数器模块的电路连接图 7 信息工程学院课程设计(论文) 3.1.3 数码管显示 显示部分采用七段数码管电路来显示。七段数码管是指由七段LED显示条组成的数码管,可以通 过控制每一段LED的亮灭来显示0-9是个数字 3.2 软件设计 3.2.1 分频器模块 分频器模块的程序如下所示: library ieee; use ieee.std_logic_1164.all; entity fen is port(clk:in std_logic; q:out std_logic); end fen; architecture fen_arc of fen is begin process(clk) variable cnt:integer range 999 downto 0; variable x:std_logic; begin if clk'event and clk='1'then if cnt<999 then cnt:=cnt+1; else cnt:=0; x:=not x; end if; end if; q<=x; end process; end fen_arc; 分频器模块的仿真图如图3所示 8 信息工程学院课程设计(论文) 图3分频器模块仿真图 3.2.2七段数码管显示模块 七段数码管显示模块的程序如下所示: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity shuma is port(m:in std_logic_vector(3 downto 0); n:OUT STD_LOGIC_VECTOR(6 downto 0)); end shuma; architecture xianshi of shuma is begin process(m) begin case m is when"0000"=>n<="0111111"; when"0001"=>n<="0000110"; when"0010"=>n<="1011011"; when"0011"=>n<="1001111"; when"0100"=>n<="1100110"; when"0101"=>n<="1101101"; when"0110"=>n<="1111101"; when"0111"=>n<="0000111"; when"1000"=>n<="1111111"; when others=>n<="0000000"; end case; end process; end xianshi; 七段数码管显示模块的仿真图如图4所示: 9 信息工程学院课程设计(论文) 如图4:七段数码管显示模块的仿真图 3.2.3计数器模块 令待测信号fsin为周期是20.0us的方波信号,控制信号clk的脉冲宽度为1s。分别用gw、sw、bw、qw、ww和sww来表示计数结果的个位、十位、百位、千位、万位和十万位。计数器模块的仿真图如图5所示: 如图5:计数器模块仿真图 4 总结 本频率计步器思路简单,可操作性强,有一定是的使用性。但任然存在一些问题:本文设计的数字频率计采用的测量周期为1s,因此测量结果的精度有限。,若待测信号某个周期的上升沿略在控制信号上升沿之前,则计数器不会对此周期计数;若待测信号某个周期的上升沿略在控制信号下升沿之前,则计数器会对此周期计数,因此对测量结果造成了一定的误差。 10 信息工程学院课程设计(论文) 参考文献 【1】赵明富,田泽正.EDA技术与实践【M】.北京:清华大学出版社,2005. 【2】 朱运航.EDA技术基础【M】.武汉:华中科技大学出版社,2004 【3】 郭勇.EDA技术基础【M】.北京:机械工业出版社,2005 【4】 潘松,黄继业.EDA技术实用教程【M】.北京:科学出版社,2002 【5】 黄正瑾.CPLD系统设计技术入门与应用【M】.北京:电子工业出版社,2002 【6】 谭会生,张昌凡.EDA技术及应用【M】.西安:西安电子科技大学出版社,2002 【7】 冯涛,王程.MAX+PlusI入门与提高【M】.北京:人民邮电出版社,2004 【8】 顾斌.数字电路EDA技术【M】.西安:西安电子科技大学出版社,2004 【9】 张华,延明.数字电路EDA入门——VHDL程序实例集【M】.北京:北京邮电大学出版社,2003 【10】 王振红.VHDL数字电路设计与应用实践教程【M】.北京:机械工业出版社,2003 11
本文档为【EDA数字频率计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_531654
暂无简介~
格式:doc
大小:103KB
软件:Word
页数:13
分类:互联网
上传时间:2017-10-19
浏览量:26