首页 FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计

FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计

举报
开通vip

FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计 FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器 设计 课程设计任务书 学生姓名: 迟庆军 专业班级: 通信zy1101 指导教师: 陈适 工作单位: 武汉理工大学 题 目: 二进制振幅键控(2ASK)调制器与解调器设计 初始条件: QuartusII 8.0sp1开发环境 要求完成的主要任务: 数字信号对载波振幅调制称为振幅键控,即ASK(Amplitude,Shift Keying)。这种调制方式是利用载波的幅度变化来传递...

FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计
FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器设计 FPGA应用设计报告二进制振幅键控(2ASK)调制器与解调器 设计 课程设计任务书 学生姓名: 迟庆军 专业班级: 通信zy1101 指导教师: 陈适 工作单位: 武汉理工大学 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 目: 二进制振幅键控(2ASK)调制器与解调器设计 初始条件: QuartusII 8.0sp1开发环境 要求完成的主要任务: 数字信号对载波振幅调制称为振幅键控,即ASK(Amplitude,Shift Keying)。这种调制方式是利用载波的幅度变化来传递数字信息,其频率和初始相位保持不变。最基本的ASK是2ASK。 请使用FPGA设计一个2ASK调制器与解调器,要求选择的FPGA芯片不限,选用的仿真工具不限。提交一篇FPGA的应用设计报告。 时间安排: 2014年1月3日上午8:30在鉴主15楼实验室答辩。 指导教师签名: 年 月 日 系主任(或责任教师)签名: 年 月 日 武汉理工大学FPGA应用设计报告 目录 工贸企业有限空间作业目录特种设备作业人员作业种类与目录特种设备作业人员目录1类医疗器械目录高值医用耗材参考目录 摘要 .................................................................................. I Abstract ............................................................................. II 1. 绪论 ............................................................................... 1 1.1 选题背景及意义 ................................................................ 1 1.1.1 本课题的研究现状 ........................................................ 1 1.1.2 选题目的意义 ............................................................ 1 1.2 本文的主要工作 ................................................................ 2 2. 2ASK系统组成及工作原理 ............................................................. 3 2.1 2ASK调制原理及设计 方法 快递客服问题件处理详细方法山木方法pdf计算方法pdf华与华方法下载八字理论方法下载 ........................................................ 3 2.2 2ASK解调原理及设计方法 ........................................................ 3 3. 2ASK各模块的设计 ................................................................... 5 3.1 2ASK调制部分 .................................................................. 5 3.2 2ASK解调部分 .................................................................. 5 4. Verilog HDL程序设计 ................................................................ 7 4.1 2ASK调制部分程序设计 .......................................................... 7 4.2 2ASK解调部分程序设计 .......................................................... 7 5. 2ASK的仿真结果及 分析 定性数据统计分析pdf销售业绩分析模板建筑结构震害分析销售进度分析表京东商城竞争战略分析 ............................................................... 9 5.1 关于Quartus II软件 ........................................................... 9 5.1.1 Quartus II 的优点 ....................................................... 9 5.1.2 Quartus II对器件的支持 .................................................. 9 5.1.3 Quartus II对第三方EDA工具的支持 ....................................... 10 5.2 2ASK调制部分仿真 ............................................................. 11 5.3 2ASK解调部分仿真 ............................................................. 12 6. 结论 .............................................................................. 13 7. 参考文献 .......................................................................... 15 附录 ................................................................................. 16 附录1 2ASK调制模块程序 .......................................................... 16 附录2 2ASK解调模块程序 .......................................................... 18 武汉理工大学FPGA应用设计报告 摘要 随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通 信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。 现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。在 数字传输系统中,数字信号对高频载波进行调制,变成频带信号,在接收 端进行解调,恢复原数字信号对载波的控制分为振幅调制即振幅键控 (ASK)。现场可编程门阵列(FPGA)在通信领域得到了广泛的应用,利用 FPGA性能优越、使用方便的特点,可以简化振幅调制解调电路的设计,而 且易于反复编写和修改程序。文章介绍了运用Verilog HDL 语言进行基于 FPGA 的振幅键控调制电路和解调电路设计的实现方案, 给出了程序设计 和仿真结果, 完成了二进制基带数字信号的调制和解调, 得到了相应的调 制信号和解调信号。 关键词:FPGA;二进制振幅键控;2ASK;调制;解调 I 武汉理工大学FPGA应用设计报告 Abstract With the development of the times, users are no longer satisfied to hear the sound, but also to see the images; communication terminal is not limited to a single phone, but also such as fax machines and computer data terminals. Existing transmission media cables, microwave relay and satellite communications will be more digital transmission. In a digital transmission system, the digital modulated high-frequency carrier signal into the frequency band signal, and demodulates the receiving end to restore the original digital signal into an amplitude modulated carrier that is amplitude shift keying (ASK) control. Field-programmable gate array (FPGA) in the field of communication has been widely used, excellent use of FPGA performance, easy to use features that can simplify the design of amplitude modulation and demodulation circuit, and easy to prepare and modify the program repeatedly. This paper introduces the use of Verilog HDL language based on amplitude shift keying modulation circuit and demodulation circuit design FPGA implementation, given the program design and simulation results, complete binary baseband digital signal modulation and demodulation, get the corresponding modulation signal and a demodulated signal. Keywords:FPGA; binary amplitude shift keying; 2ASK; modulation; demodulation II 武汉理工大学FPGA应用设计报告 1. 绪论 1.1 选题背景及意义 1.1.1 本课题的研究现状 1934年美国学者李佛西提出脉冲编码调制(PCM)的概念,从此之后通信数字化的时代应该说已经开始了,但是数字通信的高速发展却是20世纪70年代以后才开始的。随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。数字信号的载波调制是信道编码的一部分,之所以在信源编码和传输通道之间插入信道编码是因为通道及相应的设备对所要传输的数字信号有一定的限制,未经处理的数字信号源不能适应这些限制。由于传输信道的频带资源总是有限的,因此在充分得利用现有资源的前提下,提高传输效率就是通信系统所追求的最重要指标之 一。模拟通信很难控制传输效率,最常见到的单边带调幅(SSB)或残留边带调幅(VSB)可以节省近一半的传输频带。由于数字信号只有“0”和“1”两种状态,所以数字调制完全可以理解为像报务员用开关键控制载波的过程,因此数字信号的调制方式一般均为较简单的键控方式。现代通信系统的发展随着Verilog HDL等设计语言的出现和ASIC的应用进入了一个新的阶段。由于大多数信号都是带通型的,所以必须先用数字基带信号对载波进行调节,形成数字调制信号再进行传输。因而,调制技术是实现现代通信的重要手段。本文在研究ASK系统的基础上,基于FPGA设计了它的调制解调仿真实现方案。首先确定了ASK系统的仿真方案。其次编写了方案所需的程序,调制及解调实现功能。结果表明用FPGA控制ASK系统的实现方法简单,误码率低。提高了数字通信系统的效率,降低了成本。 1.1.2 选题目的意义 这个课题是基于FGPA设计并制作一个2ASK调制解调器,实现数字信号对载波的调制和解调。通过这个课题理解掌握课题涉及的相关内容,熟练使用相关开发工具软件Quartus 1 武汉理工大学FPGA应用设计报告 II,熟悉数字信号载波调制解调的基本方式。 现代通信系统是一个十分复杂的工程系统,通信系统设计研究也是一项十分复杂的技术。由于技术的复杂性,在现代通信技术中,越来越重视采用计算机仿真技术来进行系统分析和设计。随着电子信息技术的发展,已经从仿真研究和设计辅助工具,发展成为今天的软件无线电技术,这就使通信系统的仿真研究具有更重要和更实用的意义。而其中数字信号的调制和解调已成为重中之重。 1.2 本文的主要工作 本文包含2ASK的方案设计与仿真测试,全文分为六章,本章介绍本课题的研究现状及选题目的意义等;第二章介绍了2ASK的系统组成及工作原理;第三章介绍了2ASK的各模块方案设计;第四章介绍了基于Verilog HDL程序设计;第五章介绍了利用2ASK的仿真结果;第六章叙述了这次设计之后的结论。 2 武汉理工大学FPGA应用设计报告 2. 2ASK系统组成及工作原理 2.1 2ASK调制原理及设计方法 数字幅度调制又称幅度键控(ASK),二进制幅度键控记作2ASK。2ASK 是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。有载波输出时表示发送“1”,无载波输出时表示发送“0”。借助于通信原理教材中幅度调制的原理,2ASK信号可表示为:e0=s(t)coswct。式中,wc为载波角频率,s(t)为单极性NRZ矩形脉冲序列: s(t)=?ang(t-nTs) 其中,g(t)是持续时间为Ts、高度为1的矩形脉冲,常称为门 函数 excel方差函数excelsd函数已知函数     2 f x m x mx m      2 1 4 2拉格朗日函数pdf函数公式下载 ,an是第n个电平取值。 若取: ????=??1,概率为p 0,概率为1?p 2ASK/OOK 信号的产生方法通常有两种,模拟调制法(相乘器法)和键控法,如下图(a)为一般的模拟幅度调制方法,用乘法器实现;图(b)是一种数字键控法,其中的开关电路受s(t)控制。图(c)是基带信号和调制信号波形。 图2.1 ASK 信号产生方法及波形 2.2 2ASK解调原理及设计方法 2ASK 信号解调的常用方法主要有两种:包络检波法和相干检测法。 包络检波法的原理方框图如图2.2 所示:带通滤波器(BPF)滤除带外噪声 2ASK 信号 3 武汉理工大学FPGA应用设计报告 可完整地通过;经全波整流器横轴下的波形翻转到横轴以上;低通滤波器(LPF)的作用是滤除高频杂波,使基带信号(包络)通过;通过抽样判决器还原出基带信号。 图2.2 2ASK信号的包络解调 相干检测法原理方框图如图2.3 所示:相干检测就是同步解调,同前带通滤波器(BPF)滤除带外噪声;经相乘器调制信号和coswct相乘会产生两个频率信号,即2wc和0频信号,我们要得到基带信号,即是要它的0频成分;这样通过低通滤波器滤除了2wc的高频成分即可得到0频成分也就是基带信号。 图2.3 2ASK的相干解调 4 武汉理工大学FPGA应用设计报告 3. 2ASK各模块的设计 3.1 2ASK调制部分 2ASK调制的建模方框图如图所示。图中为数字部分,输出信号为数字信号。其建模思想为: (1)采用数字载波信号数字载波信号产生的方法可以从外部输入,也可以通过高频时钟信号分频得到。 (2)采用键控法:调制在图4-1中,数字基带信号作为键控信号控制与门来完成ASK调制。 (3)数字载波调制的ASK信号可经过外接滤波器转换成模拟形式的信号输出。 因为采用数字载波调制的ASK信号是数字信号,含丰富的高频成分,所以经过一个带通滤波器或低通滤波器后,将减少高频成分,输出的信号接近模拟载波调制。 图3.1 2ASK的调制方框图 3.2 2ASK解调部分 2ASK解调方框图如图3.2所示,调制信号为数字信号形式。 图3.2 2ASK的解调方框图 5 武汉理工大学FPGA应用设计报告 其建模思想是:首先考虑输入信号根据ASK信号的相干解调原理,解调信号应该包括接收端的本地同步载波,ASK信号,但考虑到本设计采用的器件为CPLD/FPGA器件,因而解调器也应该采用数字载波。得到数字载波的一种方法是:从ASK信号中应用模拟滤波器锁相环提取模拟载波信号后,进行整形放大,得到与发送端同步的数字载波,另一种方法是:采用锁相环提取载波。为了设计方便,采用外时钟输入,控制分频器,得到数字载波,并假设时钟信号与发送端时钟同步且ASK信号为数字信号。 解调器的建模设计:解调器包括分频器,计数器,寄存器和判决器等。分频器的功能是对时钟信号进行分频得到与发送端数字载波相同的数字载波信号,寄存器的功能是在时钟的上升沿到来时把数字ASK信号存入寄存器XX,计数器的功能是利用分频器输出的载波信号作为计数器的时钟信号,在其上升沿到来时,对寄存器中的ASK载波个数进行计数,当计数值 M大于3时,输出为:“0”,否则则输出为:“1”,判决器的功能是:以数字载波作为判决时钟,对计数器输出信号进行抽样判决,并输出解调后的基带信号。 6 武汉理工大学FPGA应用设计报告 4. Verilog HDL程序设计 4.1 2ASK调制部分程序设计 首先将频率为fc的时钟信号CLK分频产生频率为fc/4的载波信号,在以cnt_4=4循环计数时,从图4.1所示的流程图可以知道 图4.1 2ASK调制分频部分程序设计流程图 图4.2所示为键控电路的Verilog HDL程序设计流程图,用一个简单的二重判断语句便可完成这一功能。 4.2 2ASK解调部分程序设计 解调部分程序流程图如图4.3所示。程序对输入的信号进行抽样判决,以计数器cnt来规定抽样间隔时间,cnt以4位循环计数,这里就形成了4个周期的clk间隔来抽样判 7 武汉理工大学FPGA应用设计报告 决一次,根据输入已调信号的相位判断出调制前的信号。 图4.2 2ASK调制程序设计流程图 图4.3 2ASK解调程序设计流程图 8 武汉理工大学FPGA应用设计报告 5. 2ASK的仿真结果及分析 5.1 关于Quartus II软件 Max+plus II 作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Max+plus II 的更新支持。Quartus II 是Altera公司继Max+plus II之后开发的一种针对其公司生产的系列CPLD/PGFA器件的综合性开发软件,它的版本不断升级,从4.0版到10.0版,这里介绍的是Quartus II 8.0版,该软件有如下几个显著的特点: 5.1.1 Quartus II 的优点 该软件界面友好,使用便捷,功能强大,是一个完全集成化的可编程逻辑设计环境,是先进的EDA工具软件。该软件具有开放性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。 Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。 5.1.2 Quartus II对器件的支持 Quartus II支持Altera公司的MAX 3000A系列、MAX 7000系列、MAX 9000系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K系列,支持MAX7000/MAX3000等乘积项器件。支持MAX II CPLD 系列、Cyclone系列、Cyclone II、Stratix II系列、Stratix GX系列等。支持IP核,包含了LPM/MegaFunction宏功能模块库,用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。此外,Quartus II 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一 9 武汉理工大学FPGA应用设计报告 体,是一种综合性的开发平台。 5.1.3 Quartus II对第三方EDA工具的支持 对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三放EDA工具。 Altera的Quartus II可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,其中包括支持基于Internet的协作设计。Quartus平台与Cadence、ExemplarLogic、 MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添 了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。 Quartus ? 的设计流程如图所示: 图5.1 Quartus II的设计流程 10 武汉理工大学FPGA应用设计报告 用户首先对所做项目进行设计,明确设计目的,设计要求。然后利用原理图输入方式或程序输入方式进行设计输入。输入完成后进行编译,若编译过程中发现错误,则应检查设计输入以修改错误,直至没有错误产生。编译完成后进行仿真,检查是否达到设计要求,若未到达要求,需重新检查设计输入及编译过程,不断迭代,收敛直至满足设计要求。最后将设计配置到目标器件中进行硬件验证与测试。为设计者提供了完善的设计环境,适于模块的层次化设计方法。 5.2 2ASK调制部分仿真 调制模块硬件电路如图5.2所示: 图5.2 调制模块硬件电路 图5.3为调制仿真结果: 图5.3 2ASK调制仿真图 由调制仿真结果我们可以看出,当基带信号为低电平时,已调信号输出也为低电平;当基带信号为高电平时,已调信号为载波信号。已调信号的波形受基带信号的振幅控制,即振幅键控调制(ASK)。 11 武汉理工大学FPGA应用设计报告 5.3 2ASK解调部分仿真 解调模块硬件电路如图5.4所示: 图5.4 解调模块硬件电路 图5.5为解调仿真结果: 图5.5 2ASK解调仿真图 由解调仿真结果可以看出,我们成功的解调出了与基带信号基本一致的解调信号。与基带信号相比,解调信号有一定时延。 12 武汉理工大学FPGA应用设计报告 6. 结论 经过 Quartus ? 软件测试下载的程序后,FPGA将二进制数字基带信号成功进行振幅键控调制和解调。振幅键控调制和解调在通信电路中广泛使用,应用FPGA完成振幅键控调制与解调,具有通用性和实用性,用Verilog HDL程序来完成电路的软件设计。 课程设计是继专业理论学习和实验教学之后又一重要的实践性教学环节。它的任务是在学生掌握和具备电子技术知识与单元电路的设计能力之后,综合所学知识进一步学习电子电路系统的设计方法和实验方法,为今后从事电子技术领域的工程设计打好基础基本要求。 我觉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,而且考试内容有限,所以在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。平时看课本时,理论知识很枯燥,让人看而生畏,总是不能深入而透彻的掌握知识,并且只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。 在做本次课程设计的过程中,我感触最深的当属查阅大量的设计资料了。为了让自己的设计更加完善,查阅这方面的设计资料是十分必要的,同时也是必不可少的。我们是在做FPGA的课程设计,但我们不是艺术家,他们可以抛开实际尽情在幻想的世界里翱翔,而我们一切都要有据可依,有理可寻,不切实际的构想永远只能是构想,永远无法升级为设计。 然后,在设计之前,我们要有一个清晰的思路和一个完整的的软件流程图;在设计程序时,不能妄想一次就将整个程序设计好,反复修改、不断改进是程序设计的必经之路;要养成注释程序的好习惯,一个程序的完美与否不仅仅是实现功能,而应该让人一看就能明白你的思路,这样也为资料的保存和交流提供了方便;在课设过程中遇到问题是很正常的,但我们应该将每次遇到的问题记录下来,并分析清楚,以免下次再碰到同样的问题。但是从中学到的知识会让我受益终身。发现、提出、分析、解决问题和实践能力提高都会受益于我在以后的学习、工作和生活中。 13 武汉理工大学FPGA应用设计报告 经过一个星期的课设,过程曲折可谓一语难尽。在此期间我也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长;生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话题。最终这次课程设计顺利完成了,得益于老师、同学的辛勤指导,在此深表感谢。 14 武汉理工大学FPGA应用设计报告 7. 参考文献 [1]樊昌信.通信原理教程(第六版).北京:国防工业出版社,2012 [2]潘松.EDA技术实用教程—Verilog HDL版(第四版).北京:科学出版社,2010 [3]王振红. FPGA开发与应用.北京:清华大学出版社,2010 [4]林灶生,刘绍汉. Verilog FPGA芯片设计.北京:北京航空航天大学出版社,2006 [5](美)K.科夫曼著,沈树群,张艳,吴京松译.基于Verilog语言的实用FPGA设计.北京:科学出版社,2004 15 武汉理工大学FPGA应用设计报告 附录 附录1 2ASK调制模块程序 module ASK ( clk, rst, start, data_base, data_carrier, data_mod ); input clk,rst,start; output data_base,data_carrier,data_mod; reg [1:0] cnt_4; reg [5:0] cnt_48; reg data_base_r,data_carrier_r; /*************************************************************** ****/ always @(posedge clk) if(rst) begin cnt_4 <= 2'b00; cnt_48 <= 6'd0; end 16 武汉理工大学FPGA应用设计报告 else begin if(start == 1'd1) begin if(cnt_4 == 2'b11) cnt_4 <= 2'b00; else cnt_4 <= cnt_4 + 1'b1; if(cnt_48 == 6'd47) cnt_48 <= 6'd0; else cnt_48 <= cnt_48 + 1'b1; end end /*************************************************************** *****/ always @(posedge clk) if(rst) begin data_base_r <= 1'b0; data_carrier_r <= 1'b0; end else begin if(cnt_48 <=6'd23) data_base_r <= 1'b1; else data_base_r <= 1'b0; if(cnt_4 <=2'd1) 17 武汉理工大学FPGA应用设计报告 data_carrier_r <= 1'b1; else data_carrier_r <= 1'b0; end assign data_mod = data_base_r&&data_carrier_r; assign data_base = data_base_r; assign data_carrier = data_carrier_r; endmodule 附录2 2ASK解调模块程序 module ASK_Decode ( clk, rst, start, data_base, data_mod, data_carrier, data_demod ); input clk,rst,start; output data_base,data_mod,data_carrier,data_demod; /*************************************************************** ****/ wire ASK_Sig; 18 武汉理工大学FPGA应用设计报告 ASK ASK_MOD1 ( .clk(clk), .rst(rst), .start(start), .data_base(data_base), .data_carrier(data_carrier), .data_mod(ASK_Sig) ); assign data_mod = ASK_Sig; /*************************************************************** ****/ reg [3:0] cnt; always @(posedge clk) if(rst) cnt <= 4'b0000; else if(start) begin begin if(cnt == 4'd11) cnt <= 4'd0; else cnt <= cnt + 1'b1; end end 19 武汉理工大学FPGA应用设计报告 reg [2:0] cnt_6; reg data_demod_r; always @(posedge clk ) if(rst) cnt_6 <= 3'd0; else if(start) begin begin if(cnt == 4'd11) cnt_6 <= 3'd0; else if(cnt == 4'd10) begin if(cnt_6 < 3'd3) data_demod_r <= 1'b0; else data_demod_r <= 1'b1; end if(ASK_Sig) cnt_6 <= cnt_6 + 1'b1; end end assign data_demod = data_demod_r; endmodule 20 本科生课程设计成绩评定表 姓 名 专业、班级 性 别 课程设计题目:二进制振幅键控(2ASK)调制器与解调器设计 课程设计答辩或质疑记录: 成绩评定依据: 最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 年 月 日
本文档为【FPGA应用设计报告二进制振幅键控&#40;2ASK&#41;调制器与解调器设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_037433
暂无简介~
格式:doc
大小:46KB
软件:Word
页数:0
分类:
上传时间:2018-03-15
浏览量:42