首页 模拟量输入输出通道

模拟量输入输出通道

举报
开通vip

模拟量输入输出通道会计学1模拟量输入输出通道2概述过程通道:计算机和生产过程之间设置的信息传送和转换的连接通道。(AI、AO、DI、DO)微机控制系统组成框图第1页/共147页3概述过程通道的组成和功能◆数字量输入(DI)通道:把从控制对象检测得到的数字码、开关量、脉冲量或中断请求信号经过输入缓冲器在接口的控制下送给计算机(检测通道)输入调理电路输入缓冲器地址译码器生产过程PC总线第2页/共147页4概述◆数字量输出(DO)通道:把从计算机输出的数字信号通过接口输出数字信号、脉冲信号或开关信号(控制通道,电磁阀)输出驱动器输出锁存器...

模拟量输入输出通道
会计学1模拟量输入输出通道2概述过程通道:计算机和生产过程之间设置的信息传送和转换的连接通道。(AI、AO、DI、DO)微机控制系统组成框图第1页/共147页3概述过程通道的组成和功能◆数字量输入(DI)通道:把从控制对象检测得到的数字码、开关量、脉冲量或中断请求信号经过输入缓冲器在接口的控制下送给计算机(检测通道)输入调理电路输入缓冲器地址译码器生产过程PC总线第2页/共147页4概述◆数字量输出(DO)通道:把从计算机输出的数字信号通过接口输出数字信号、脉冲信号或开关信号(控制通道,电磁阀)输出驱动器输出锁存器地址译码器生产过程PC总线第3页/共147页5概述◆模拟量输入(AI)通道:把从控制对象检测得到的时间连续模拟信号(如温度,压力,流量,液位等)(0-5V,4-20mA)变换成二进制的数字信号,然后经接口送入到计算机(检测通道)◆模拟量输出(AO)通道:把从计算机输出的数字信号通过接口由它变换成相应的模拟量信号输出给控制对象(控制通道,连续调节阀)◆AI、AO比较重要,有不少特殊问题要解决第4页/共147页6计算机控制系统的信号一信号形式的分类二A/D转换器三D/A转换器四计算机控制系统中信号形式的分类五计算机控制系统的简化结构图第5页/共147页7一信号形式的分类计算机控制系统是包含模拟部件和数字部件的一个混合系统,因此信号的种类较多。计算机执行器A/D被控对象检测D/A图2.1计算机控制结构图第6页/共147页8从时间上区分:连续时间信号——在任何时刻都可取值的信号离散时间信号——仅在离散断续时刻出现的信号从幅值上区分:模拟信号——信号幅值连续变化离散信号——信号幅值只能取离散值数字信号——信号幅值用一定位数的二进制编码形式表示的信号第7页/共147页9计算机控制系统的信号流程图如下,其中主要有四种信号①模拟信号:时间上连续,幅值上连续。②离散模拟信号:时间上离散,幅值上连续。③数字信号:时间上离散,幅值离散量化。④量化模拟信号:时间上连续,幅值连续量化。计算机系统要把连续变化的量变成离散量后再进行处理。因此,计算机系统称做离散系统(采样数据系统)。第8页/共147页10二A/D转换器功能:连续的模拟信号变换成数字信号。包括采样(Sample)、量化(Quantity)、编码(Coding)三个过程量化采样编码模拟信号数字信号第9页/共147页111采样◆功能:把时间上连续的模拟信号,按一定的时间间隔T进行采样,变成时间上不连续(离散)的、幅值等于采样时刻输入值的脉冲序列信号,即采样信号。第10页/共147页12执行采样动作的开关为采样开关或采样器。采样开关每次通断的时间间隔T称为采样周期。离散系统的采样形式有:①周期采样:以相同的时间间隔进行采样(应用最多).②多阶采样:时间间隔是周期性的重复.③随机采样:没有固定的采样周期,根据需要选择采样时刻.第11页/共147页13采样后的脉冲信号称为采样信号,采样信号在时间轴上是离散的,但在函数轴上仍是连续的第12页/共147页14•基本要求:采样信号必须能如实反映被采样信号的所有变化和特征第13页/共147页15怎样才可以无失真的采样?主要与信号的什么特征有关?频率范围是信号重要特征第14页/共147页16大提琴小提琴大提琴100Hz~250Hz小提琴200Hz~400Hz第15页/共147页17人耳听到的声音:20Hz~20kHz20kHz以上超声波20Hz以下次声波第16页/共147页18声音1:采样频率22.05KHz,数字化16Bit,双声道录音。离散信号的信息与采样频率的关系(示例)声音2:采样频率1.38KHz,数字化16Bit,双声道录音。声音3:采样频率689Hz,数字化16Bit,双声道录音。第17页/共147页19一个连续变化的信号,经采样后形成一个脉冲序列。采样的频率越高,离散后的信号x*(t)越接近连续输入信号x(t)。但采样频率太高,在实时控制系统中,会把过多的时间用于采样,失去实时控制的机会。•采样定理——香农(Shannon)定理设max为被采样信号变化的最高频率,则采样频率s应满足:s≥2max,才能用采样信号无失真地重构被采样信号。第18页/共147页20实际信号一般都是带宽受限信号音乐:20Hz~22kHz,CD采样频率44.1KHz;电话声音:300Hz-3400Hz,电话采样频率为8KHz;第19页/共147页212量化◆功能将采样信号连续变化的幅值按最小量化单位q取整数倍,即整量化,变为时间和幅值上均离散的信号第20页/共147页22◆量化单位q量化过程中使用的最小数量单位在A/D转换器中,量化单位q为最低有效位(LSB)所代表的物理量。例如10位A/D最小量化单位:量化时,把输入信号分为1024层,输入信号分层越多,量化误差越小。即,数字量位数越多,量化等级越细。第21页/共147页23A/D转换器中的量化误差怎样产生的?由于模拟信号在时间、数值大小都是连续的,不一定被最小量化单位q整除,所以在量化过程中就可能引入量化误差。第22页/共147页24量化时尾数的处理方法(1)尾数截断尾数小于q,忽略不计(2)尾数舍入尾数小于q/2,忽略不计,大于等于q/2,作q处理第23页/共147页253编码◆将经整量化后的离散信号用一定位数的二进制数码来表示,称为编码第24页/共147页260≤VI<Vq输入输出编码输入输出编码000001010011100101110111000001010011100101110111q≤VI<2q2q≤VI<3q3q≤VI<4q4q≤VI<5q5q≤VI<6q6q≤VI<7q7q≤VI<8q0≤VI<0.5q0.5q≤VI<1.5q1.5q≤VI<2.5q2.5q≤VI<3.5q3.5q≤VI<4.5q4.5q≤VI<5.5q5.5q≤VI<6.5q6.5q≤VI<7.5q最大量化误差为q最大量化误差为±q/2尾数截断法:尾数舍入法:0≤VI<q第25页/共147页27双极性(正负)信息的3种表示方法—符号-数值码—偏移二进制码—补码表示法第26页/共147页28注记:常用的双极性编码数正基准负基准符号-数值码2的补码偏移2进制码+7+7/8-7/8011101111111+6+6/8-6/8011001101110+5+5/8-5/8010101011101+4+4/8-4/8010001001100+3+3/8-3/8001100111011+2+2/8-2/8001000101010+1+1/8-1/8000100011001+0+0-0000000001000-0-0+01000(0000)(1000)-1-1/8+1/8100111110111-2-2/8+2/8101011100110-3-3/8+3/8101111010101-4-4/8+4/8110011000100-5-5/8+5/8110110110011-6-6/8+6/8111010100010-7-7/8+7/8111110010001-8-8/8+8/810000000第27页/共147页29注记:常用的双极性编码关系将右边码转变为下面码符号-数值码2的补码偏移2进制码符号-数值码不变最高位为1,则其余各位取反,再加00…01最高位取反,若取反后最高位为1,则其余各位取反,再加00…012的补码最高位为1,则其余各位取反,再加00…01不变最高位取反偏移2进制码最高位取反,若取反后最高位为0,则其余各位取反,再加00…01最高位取反不变第28页/共147页30◆功能将数字编码信号转换为相应的时间连续的模拟信号,相当于解码器与保持器的组合。解码保持模拟信号数字信号三D/A转换器第29页/共147页31◆解码将数字信号转换为幅值等于该数字量的模拟脉冲信号解码后的信号在时间上仍是离散的,幅值上为模拟脉冲信号(电流或电压)◆保持将解码后的时间离散的模拟脉冲信号保持 规定 关于下班后关闭电源的规定党章中关于入党时间的规定公务员考核规定下载规定办法文件下载宁波关于闷顶的规定 时间,变成时间上连续的模拟信号保持时间通常为一个采样周期,这样的保持器称为零阶保持器(ZOH)第30页/共147页32▲根据D/A转换器的不同结构,可以是先解码后保持(称为模拟量保持),也可以是先保持后解码(称为数字量保持)第31页/共147页33四计算机控制系统中信号形式的分类1计算机控制系统信号变换结构图量化计算机采样解码检测编码被控对象保持ABCDFGEHI2系统中信号形式的分类连续信号(或模拟信号)时间及幅值上均连续的信号,如图中的A、I处的信号数字信号时间上离散、幅值上采用二进制编码的信号,如图中的D、F处的信号第32页/共147页34▲采样信号时间上离散而幅值上连续的信号,如图中的B处的信号▲时间上连续,幅值为阶梯状模拟量的模拟保持信号,如图中的H点▲时间上和幅值上均离散的离散信号,如图中的C、G处的信号▲时间上连续存在,幅值由二进制编码表示的计算机内存信号,如图中的E点第33页/共147页353计算机控制系统中信号的传递速度▲在不含纯滞后环节的连续系统中,模拟信号的传递可认为是瞬时完成的,即系统的输出反映同一时刻输入的响应▲在计算机控制系统中,由于A/D转换、计算机运算、D/A转换都需要花费一定的时间,系统的实际输出并不是当前时刻输入的响应,即所谓“计算机信号时延”第34页/共147页36五计算机控制系统的简化结构图▲在系统的信号变换过程中,最重要的是采样、量化和保持3个变换过程,编码和解码仅是信号表现形式的改变,可看作无误差的等效变换。▲采样和保持涉及到采样间隔中信号的问题,将直接影响传递特性,因而是本质问题,必须加以考虑。▲量化将使信号产生误差并影响系统的特性。但当量化单位足够小时,在系统初步分析与设计时可不予考虑。第35页/共147页37★计算机控制系统的简化结构图计算机采样被控对象检测ZOH第36页/共147页382.1.2多路开关在微型计算机测量及控制系统中,往往需对多路或多种参数进行采集和控制。一台微型计算机可供多回路使用,但是,微型计算机在某一时刻只能接收一个通道的信号,因此必须通过多路模拟开关进行切换,使各路参数分时进入微型计算机。第37页/共147页39多路开关的主要用途:①把多个模拟量参数分时接通并送入A/D转换器,即完成多到一的转换。——多路开关②把经计算机处理,且由D/A转换器转换成的模拟信号按一定的顺序输出到不同的控制回路中,完成一到多的转换。——多路分配器这类器件中有的只能做一种用途,称为单向多路开关。如AD7051;有些既能做多路开关,又能做多路分配器,称为双向多路开关。如CD4051。从输入信号的连接方式来看,有的是单端输入,有的则允许双端输入。第38页/共147页401.CD4051CD4051是单端8通道多路开关.由逻辑电平转换单元、地址译码电路和CMOS开关等三部分组成。三个通道选择输入端A,B,C.一个禁止输入端INH.当INH=1,禁止模拟量输入;当INH=0,允许模拟量输入。逻辑电平转换单元完成TTL电平到CMOS电平的转换。二进制译码器用来选择输入端C,B,A的状态进行译码,以控制开关电路TG,使某一路接通,从而将输入和输出接通。若把输入信号与引脚3接通,改变C,B,A三个控制信号的值,可使其与8个输出端的任何一端接通,完成一到多的分配,即多路分配器。第39页/共147页41引脚结构如图第40页/共147页42第41页/共147页43真值表如下第42页/共147页442多路开关的扩展在实际应用中,往往由于被测参数,使用一个多路开关不能满足通道数的要求。为此,可把多路开关进行扩展。由于两个多路开关只有两种状态,1#多路开关工作,2#必须停止,或者相反。所以,只用一根地址总线即可作为两个多路开关的允许控制端的选择信号,而两个多路开关的通道选择输入端共用一组地址(数据)线。第43页/共147页45CD4051扩展电路图第44页/共147页46当采样的通道比较多,可以将两个以上的多路开关并联起来。四个8路开关扩展成16路的差动输入方法OUTOUTCCABBAD0D1D2D3CD4051CD4051INHINHS1S8S1S8ININININ{{差动模拟输入(18)差动模拟输入(916)~~模拟输出V1.....OUTOUTCCABBACD4051CD4051INHINHS1S8S1S8ININININ{{差动模拟输入(18)差动模拟输入~~4D锁存器....(916).....模拟输出V2第45页/共147页472.1.3采样—保持器模拟量参数需转变成数字量,才能进入计算机系统。为保证A/D转换的精度,必须在A/D转换进行时保持待转换值不变,转换结束后能跟踪输入信号的变化。同时,在模拟量输出通道中,为使各项输出通道得到一个平滑的模拟量输出,也要保持一个恒定值。能完成上述两项任务的器件叫采样——保持器。第46页/共147页48采样保持器,简写为S/H。S/H的工作方式有: ①采样方式。采样保持器的输出跟随模拟量输入电压变化。 ②保持方式。采样保持器的输出将保持在命令发出时刻的模拟量输入值,直到保持命令撤消为止。第47页/共147页49采样保持器的主要用途:①保持采样信号不变,以便完成A/D转换。②同时采样几个模拟量,以便进行数据处理和测量。③减少A/D转换器的输出毛刺,从而消除输出电压的峰值。④把一个D/A转换器的输出分配到几个输出点,以保证输出的稳定性。第48页/共147页50在模拟量输入通道中,A/D转换器将模拟信号转换成数字量总需要一定的时间,完成一次A/D转换所需的时间称为孔径时间。对于随时间变化的模拟信号来说,孔径时间决定了每个采样时刻的最大转换误差。第49页/共147页51孔径时间对于一定的转换时间,最大可能的误差发生在信号过零的时刻,令U=Umsinωt,则:Um为正弦模拟信号的幅值,f为信号频率。第50页/共147页52在坐标的原点取Δt=tA/D,则得原点处转换的不确定电压误差为误差的百分数为对于一定的转换时间tA/D,误差的百分数和信号频率成正比。为了确保A/D转换的精度,使它不低于0.1%,不得不限制信号的频率范围。第51页/共147页53例如,一个10位的A/D转换器,若要求转换精度为0.1%,孔径时间10μs,则允许转换的正弦波模拟信号的最大频率为:第52页/共147页54采样保持器的工作原理:当控制信号为高电平(即采样时段)时,开关S闭合,输入信号通过电阻R向电容C充电.通常,要求充电时间越短越好,以使电容电压迅速达到输入电压值.当控制信号为低电平(即保持阶段)时,S断开,A/D转换器根据电容C上的电压进行量化.电容C对采样保持精度影响很大,应选取漏电阻抗较大的电容,如聚苯乙烯或聚四氟乙烯等材料制作的电容,同时在保证采样速度的前提下,可适当增加C的电容量。第53页/共147页55LF198是由双极型绝缘栅场效应管组成的采样-保持电路.具有采样速度快,保持下降速度慢,精度高等特点.下图为LF198原理图.第54页/共147页56注意:在模拟量输入通道中,只有在信号变化频率较高而A/D转换速度又不高,以致孔径误差影响转换精度时,或者要求同时进行多路采样的情况下,才需要设置采样保持电路,对于一些变化缓慢的生产过程(如石油、化工等)可以不设置保持电路。第55页/共147页572.2模拟量输出通道的接口技术模拟量输出通道的功能是,把计算机输出的运算结果(数字量)转换成模拟电压或电流,并且输出给相应的执行机构,驱动执行机构实现所要求的控制目的。模拟信号输出通道的组成:由控制接口、数/模转换器、模拟多路开关和功率放大器三部分组成。第56页/共147页582.2.1D/A转换器(DigitaltoAnalogConverter,DAC)D/A转换器的组成◆基准电压(电流)◆模拟二进制数的位切换开关◆产生二进制权电流(电压)的精密电阻网络◆提供电流(电压)相加输出的运算放大器(0~10mA,4~20mA或者TTL,CMOS,…)第57页/共147页59D/A转换器的原理◆转换原理可以归纳为“按权展开,然后相加”。因此,D/A转换器内部必须要有一个解码网络,以实现按权值分别进行D/A转换。◆解码网络通常有两种:二进制加权电阻网络和T型电阻网络。第58页/共147页60◆4位权电阻网络D/A转换器原理图第59页/共147页61◆E为基准电压◆为晶体管位切换开关,受二进制各位状态控制—相应位为“0”,开关接地—相应位为“1”,开关接E◆为权电阻网络,其阻值与各位权相对应,权越大,电阻越大(电流越小),以保证一定权的数字信号产生相应的模拟电流◆运算放大器的虚地按二进制权的大小和各位开关的状态对电流求和第60页/共147页62◆设输入数字量为D,采用定点二进制小数编码,D可表示为:当时,开关接基准电压E,相应支路产生的电流为当时,开关接地,相应支路中没有电流。因此,各支路电流可以表示为:这里第61页/共147页63◆运算放大器输出的模拟电压为可见,D/A转换器的输出电压U正比于输入数字量D◆缺点:位数越多,阻值差异越大第62页/共147页64◆4位T型电阻网络(R-2R)D/A转换器原理图第63页/共147页65◆从节点a,b,c,d向右向上看,其等效电阻均为2R◆位切换开关受相应的二进制码控制,相应码位为“1”,开关接运算放大器虚地,相应码位为“0”,开关接地。◆流经各切换开关的支路电流(从左到右)分别为,,,◆各支路电流在运算放大器的虚地相加第64页/共147页66◆运算放大器的满度输出为这里满度输出电压(流)比基准电压(流)少了1/16,是因端电阻常接地造成的,没有端电阻会引起译码错误◆对n位D/A转换器而言,其输出电压为第65页/共147页67D/A转换器的主要参数:分辨率:常用D/A转换器输入二进制数的位数来表示,如8位,12位.分辨率为N位,表示D/A转换器输入二进制数的最低有效位LSB与满量程1/2n相对应.分辨率越高,转换时对输入模拟信号变化的反应就越灵敏.线性误差:理想转换特性(量化特性)应该是线性的,实际并非如此.在满量程输入范围内,偏离理想转换特性的最大误差定义为线性误差.建立时间:输入数字信号的变化量是满量程时,输出模拟信号达到离终值±1/2LSB所需的时间.ns或μs第66页/共147页682.2.28位D/A转换器及其接口技术一普通型D/A转换器DAC0832DAC0832是一种具有两个输入锁存器的D/A转换芯片,能直接与计算机总线连接。主要参数:分辨率8位,转换时间为1μs,满量程误差为±1LSB,参考电压为(+10~-10)V,供电电源为(+5~+15)V,逻辑电平输入与TTL兼容.第67页/共147页691)DAC0832的结构及工作原理DAC0832主要由8位数据锁存器、8位DAC寄存器、8位D/A转换器和选通控制逻辑四部分组成。采用T型电阻网络实现D/A转换由8个D锁存器组成,作为输入数据的缓冲器。由8个D锁存器组成。第68页/共147页70由图可见,在DAC0832中有两级锁存器,第一级锁存器为输入寄存器,它的锁存信号为ILE,第二级锁存器为DAC寄存器,锁存信号为通道控制信号.为寄存器命令。1,寄存器的输出随输入而变化=0,数据被锁存在寄存器中第69页/共147页712)DAC0832的引脚◆引脚结构:20引脚,双列直插式封装—数字量输入线D7~D0(8条)—控制线(5条)—输出线(3条)Iout1,Iout2,Rf—电源线(4条)第70页/共147页72DAC0832为20条引脚双列直插式封装结构,其各引脚功能如下:——片选端,低电平有效。ILE——数据允许锁存信号,高电平有效。——写信号1端,低电平有效。用于将输入数据锁存到输入寄存器中,必须与ILE、同时有效。——写信号2端,低电平有效。只有当和同时有效时,输入寄存器中的数据才能通过DAC寄存器进行D/A转换。第71页/共147页73——传送控制信号,低电平有效。用于控制。DI0~DI7——8位数据输入线。Iout1——模拟电流输出端1。当输入数字量全为1时,输出电流最大;全为0时,输出电流为0。Iout2——模拟电流输出端2。Iout2与Iout1为互补输出,即Iout1+Iout2=常数。Rfb——反馈电阻连接端。用于连接运算放大器的输出端(反馈电阻多固化在片内)。 VREF——基准电压输入端,接外部-10~+10VMATCH_ word word文档格式规范word作业纸小票打印word模板word简历模板免费word简历 _1717128422284_0电源。VCC——电源输入端,可选择5~15V电压。AGND:模拟地;DGND:数字地。两种地单独连接。第72页/共147页743)DAC0832三种工作方式:直通方式:如果DAC0832的两个8位寄存器都处于直通状态(输出跟随输入变化),即为直通方式。这时由DI7~DI0输入的数据可以直接进入DAC寄存器进行D/A转换。因此,所有控制信号都接成有效形式,和应当同时为1,即ILE=1,而、、和均为0。第73页/共147页75单缓冲方式:把两个寄存器中的任何一个接成直通方式,用另一个锁存数据,处于受CPU控制的状态,即为单缓冲方式。一般是将DAC寄存器接成直通方式。例如:若=1,使DAC寄存器处于直通状态,CPU则可以通过ILE、控制输入寄存器,使DAC0832工作于单缓冲寄存器的工作方式。第74页/共147页76也可以将两个寄存器同时锁存,和接在一起,而把接地.第75页/共147页77双缓冲方式:如果两个8位寄存器都处于受控方式,即为双缓冲方式。在这种方式下CPU分别控制两个缓冲寄存器的工作状态,数据输出要通过两步操作才能完成。第76页/共147页781先把转换的数据打入数据锁存器,然后在某时刻再启动D/A转换.这样可实现数据转换与数据输入同时进行,因此可有效提高转换速度.2在需要同步进行D/A转换的多路DAC系统中,采用双缓冲方式,可在不同的时刻把要转换的数据分别打入各DAC的数据锁存器,然后由一个转换命令同时启动多个DAC的转换.实现同步输出.注意:在无特殊要求时,尽量采用单缓冲方式.只有在要求同时输入两个或两个以上数据的情况下,才采用双缓冲方式.第77页/共147页79二D/A转换器的输出方式D/A转换器的输出有电流和电压两种方式,其中电压输出型又有单极性电压输出和双极性电压输出。D/A转换器的输出方式只与模拟量输出端的连接方式有关,与其位数无关。下面以8位D/A为例进行讨论。第78页/共147页80(1)单极性电压输出在电流输出型D/A转化器中,一般要求Iout2端接地,否则将使T型网络各臂上的电压发生变化,致使解码网络的线形度变差。将电流输出型D/A转换芯片转换成电压输出,只要在电流输出端加上一级电压放大器。第79页/共147页81典型DAC0832的电压单极性输出电路如下图示DAC0832的电流输出端Iout1接至运放的反相输入端,故输出电压VOUT与参考电压VREF极性反相。第80页/共147页82(2)双极性电压输出在随动系统中,由偏差产生的控制量不仅与大小有关,且与极性相关。在单极性电压输出的基础上再加上一级电压放大器,并配以相关的电阻网络,就可构成双极性电压输出。第81页/共147页83由下图知,运放A2的作用是把运放A1的单向输出电压变为双向输出。即将A2的输入端通过电阻R1与参考电压相连。VREF经R1向A2提供偏流I1,因此,运放A2的输入电流为两支路电流I1,I2之代数和。由图可得D/A转换器的总输出电压:第82页/共147页84★运算放大器A2的作用是将运算放大器A1的单向输出转变为双向输出,用图形表示如下:第83页/共147页85三.8位D/A转换器与微型计算机的接口及程序设计(1)数字量输入端的连接需考虑两方面:①位数②D/A转换器的内部结构.当D/A转换器内部没有输入锁存器时,必须在CPU与D/A转换器间增设锁存器或I/O接口.若有则可直接相连.(2)外部控制信号的连接片选信号、写信号、启动信号是D/A转换器的主要控制信号,一般由CPU或译码器提供.连接方法与D/A转换器结构有关.片选信号主要由地址线或地址译码器提供.写信号多由单片机(计算机)的写信号提供.启动信号一般为片选及写信号的合成.第84页/共147页86(3)D/A转换器与单片机的接口及程序设计应用举例在单片机中采用统一编址的方式,寻址时将I/O端口视为外部存储单元,所以,采用访问外部存储器的指令MOVX@DPTR,A或者MOVX@Ri,A即可完成对I/O端口的访问.①不含数据锁存器的D/A转换器的连接(略)两种方法:①在单片机与D/A转换器间,增设锁存器或I/O接口芯片;②利用单片机内部设有I/O接口的特点,直接与MCS-51系列单片机的P1口连接.目前,这种D/A转换器比较少.第85页/共147页87②含有锁存器的D/A转换器的连接第86页/共147页88由图知D/A转换器工作在双缓冲的工作方式.DAC0832的数字输入信号直接与8031的P0口连接.单片机的P2.1控制,P2.0控制,信号同时控制和.锁存允许信号ILE接高平.当P2.1为0,执行MOVX@DPTR,A指令时,和信号均为低电平,锁存允许信号ILE固定接高电平,此时,就打开第一级输入寄存器,把数据送入该寄存器.再使P2.0=0(即=0),执行MOVX@DPTR,A指令,即可打开第二级8位DAC锁存器,完成D/A转换.第87页/共147页89按图示连接方法,D/A转换器被作为8031的外部扩展存储器.设其第一级地址为FDFFH,第二级地址为FEFFH,则完成上图所示的D/A转换程序为:START:MOVDPTR,#0FDFFHMOVA,#nnHMOVX@DPTR,AINCDPHMOVX@DPTR,A第88页/共147页90课堂练习试用DAC0832设计一个单缓冲的D/A转换器,要求画出接口电路图。第89页/共147页912.2.412位D/A转换器DAC1210主要性能指标如下:12位数字分两次输入;分辨率为12位;电流建立时间1μs;单电源供电,供电电压为5~15V;基准电压VREF范围为-10~+10V。第90页/共147页9212位输入寄存器分成一个8位和一个4位并联,为的是便于和8位CPU总线连接。在和8位数据总线连接时,数据要分两次输出,先送高8位,再送低4位,然后12位一次输出进行D/A转换。为1时,同时选中两个输入寄存器;为0时,只选中低4位寄存器。第91页/共147页93第92页/共147页94思考:DAC1210采用的是单极性的输出方式,8位输入寄存器的地址为FFH,4位输入寄存器的地址为FEH。设内部RAM的20H和21H单元内存放一个12位数字量(20H单元中为低4位,21H单元中为高8位),写出实现D/A转换的程序。第93页/共147页952.2.4模拟量输出通道结构形式1一个通道设置一个数/模转换器的形式优点:转换速度快,精度高,工作可靠.缺点:如果输出通路数量很多,将使用较多的D/A转换器.第94页/共147页962多个通路共用一个数/模转换器的形式由于多个输出通路共用一个D/A转换器,因此每个通路应设置一个输出保持器,同时还应有一个多路转换开关.适用于通路数多且速度要求不高的场合.此外,它还要用多路开关,并要求输出保持器的保持时间与采样时间之比较大,因此可靠性较差.使本次输出的控制信号在新的控制信号来到之前维持不变,从而将离散的模拟信号变为连续的模拟信号第95页/共147页972.3模拟量输入通道接口技术模拟量输入通道的任务是将模拟量转换成数字量.能够完成这一任务的器件称为模-数转换器,简称A/D转换器.A/D转换器的位数越高,其分辨率越高,价格也越贵.模拟量输入通道由数字控制接口电路、模/数信号转换器、模拟信号前置放大器和多路信号切换采样器四部分组成。第96页/共147页982.3.1A/D转换原理A/D转换常用方法:①计数器式A/D转换:转换线路简单,转换速度较慢,价格便宜,适用于慢速系统。现很少采用。②双积分式A/D转换:可达高分辨率,精度高,抗高频干扰及瞬间干扰能力强,但速度更慢。③逐次逼近型A/D转换:可达中等分辨率或高分辨率,适用于中等速度甚至高速转换系统,成本低,又具有一定精度,多用此种转换方法。第97页/共147页99逐次逼近型A/D转换原理图第98页/共147页100◆采用对分搜索原理来实现A/D转换◆主要由逐次逼近寄存器SAR、D/A转换器、电压比较器、时序及控制逻辑等部分组成◆工作过程:逐次把设定在SAR中的数字量所对应的D/A转换器输出的电压,与要被转换的模拟电压进行比较,比较时从SAR中的最高位开始,逐次确定各数码位是“1”还是“0”,最后,SAR中的内容就是与输入的模拟电压对应的二进制数字代码第99页/共147页101◆以4位A/D转换器为例,说明其逐次逼近过程的原理:LSB所代表的信号电压为0.25v(满量程,4/24),模拟输入电压为1.8v这里误差为0.05v。SAR位数越多,越逼近,但转换时间也越长第100页/共147页102转换过程:当A/D转换器接到启动脉冲后,在时钟的作用下,控制逻辑首先使N位逐次逼近寄存器的最高位DN-1置1(其余N-1位均为0),经D/A转换器转换成模拟量Vf后,与输入的模拟量VX在比较器中进行比较,由比较器给出比较结果.当VX≥Vf时,保留这一位,否则,该位清零.然后,再使DN-2位置1,与上一位DN-1一起进入D/A转换器,经D/A转换后的模拟量Vf再次与模拟量VX进行比较.如此进行下去,直至最后一位D0比较完成为止.此时,N位寄存器中的数字量即为模拟量对应的数字量.第101页/共147页1032.3.28位A/D转换器1普通型A/D转换器AD7574AD7574采用CMOS工艺,是单片型,含内部时钟振荡器,+5V供电,芯片内设有比较器和控制逻辑,以及功耗低,转换速度快的逐次逼近型A/D转换器.采用18脚双列直插式封装结构,易于与微型计算机接口连接,应用广泛.第102页/共147页104AD7574是采用R-2R型解码网络D/A转换结构,利用逐次逼近型模-数转换的A/D转换器,片内还设有控制逻辑、时钟、以及三态输出缓冲器等几个部分.第103页/共147页105各引脚的作用及控制方法如下:VREF:参考电压.VDD:A/D转换器的总电源,+5V.VIN:模拟量电压输入端.单极性.BOFS:二进制偏移选择端.用来控制A/D的输出码制.DB7~DB0:带锁存器的8位数字量输出端.:忙信号输出端.该信号作为A/D转换器的标志信号.当为0时,表示A/D转换器正在进行A/D转换.此时,若从A/D转换器中读取数据是错误;当为1时,表示A/D转换结束,可读取A/D转换的结果.和:为写/读信号,而是片选信号.两者结合起来完成写或读的控制.CLK:时钟信号端.GND:地线.AGND模拟地,DGND数字地.第104页/共147页1062多通道A/D转换器ADC0808/08098位AD转换器ADC0809—带8通道模拟开关的8位逐次逼近A/D转换器—转换时间100us,总的不可调误差为±1LSB—可直接与微机相连,不需另加接口逻辑—输入、输出引脚电平与TTL电路兼容—当模拟电压范围为0~5v时可使用单一的+5v电源—一般不需要调零和增益校准第105页/共147页107第106页/共147页108芯片中8路模拟开关的通/断由地址锁存器和译码器控制,可以在8个通道中任意访问一个单边的模拟信号.该芯片无需进行零位和满量程调整.由于多路开关的地址输入部分能够进行锁存和译码,而且其三态TTL输出也可进行锁存,所以易于与计算机接口连接.片内8通道多路模拟开关,其基本原理与CD4051相似.逐次逼近型A/D转换器由比较器,控制逻辑,数字量输出缓存缓冲器,D/A转换器组成.该芯片具有较高的转换速度和精度,受温度影响较小,能较长时间保证精度,重现性好,功耗较低,且具有8路模拟开关,对于过程控制它是比较理想的器件.第107页/共147页109ADC0809引脚结构:◆采用双列直插式封装,共有28条引脚—8条模拟量输入通道—地址输入和控制线4条—数字量输出及控制线11条—电源线及其他:5条第108页/共147页110◆是转换后的二进制输出端,它们受输出允许信号OE的控制:OE=0,呈高阻态;OE=1,输出转换后的数据◆A、B、C是三个采样地址输入端,它们的8种组合用来选择8个模拟量输入通道中的一个通道并进行转换第109页/共147页111◆输入通道选通地址表第110页/共147页112◆ALE是地址锁存选通信号,该信号上升沿把地址状态选通入地址锁存器。也可以作为开始转换的启动信号◆START为启动转换脉冲输入端,其上跳变复位转换器,下降沿启动转换。它可由程序或外部设备产生。当START与EOC端短接时,实现自动连续转换第111页/共147页113◆EOC为转换结束信号,从START信号上升沿开始经8个时钟周期后由高电平变为低电平。该信号也可作为中断请求信号◆CLK为时钟信号输入端,最高可达1280kHz◆REF(+)和REF(-)为基准电压输入端,它们决定了输入模拟电压的最大值和最小值。通常REF(+)和电源Vcc一起接基准电压,REF(-)接地端GND第112页/共147页114◆转换时序第113页/共147页1152.3.38位A/D转换器的接口技术1模拟量输入信号的连接A/D转换所要求的模拟量大都为0~5V的标准电压信号.在模拟量输入通道中,除了单通道输入外,还有多通道输入方式.2数字量输出引脚的连接对内部未含有输出锁存器的A/D转换器,多通过锁存器或I/O接口与计算机相连.对内部含有数据输出锁存器的A/D转换器,可直接与计算机相连.第114页/共147页1163A/D转换器的启动A/D转换器在开始转换前,必须经过启动才开始工作.启动的方式有脉冲启动和电平启动.脉冲启动的芯片,只要在启动转换输入引脚引入一个启动脉冲即可.如ADC0809.电平启动,就是在A/D转换器的启动引脚上加上要求的电平.在转换的过程中,必须保持这一电平,否则将停止转换.如AD570.注意:不同的A/D转换器,要求的启动信号的电平不一样.第115页/共147页1174转换结束信号的处理方法转换结束时,A/D转换器芯片内部的转换结束触发器置位.同时输出一个转换结束标志信号,通知计算机,转换完毕,可进行读数.计算机检查判断A/D转换结束的方法有:①中断方式:将转换结束标志信号接到计算机的中断 申请 关于撤销行政处分的申请关于工程延期监理费的申请报告关于减免管理费的申请关于减租申请书的范文关于解除警告处分的申请 引脚或允许中断的I/O接口的相应引脚上.当转换结束时,提出中断申请,计算机响应后,在中断服务程序中读取数据.②查询方式:把转换结束信号经三态门送到CPU数据总线或I/O接口的某一位上,计算机向A/D转换器发出启动信号后,便开始查询A/D转换是否结束.③软件延时法:计算机启动A/D转换后,就根据转换芯片完成转换所需要的时间,调用一段延时程序,程序执行完后,A/D转换完成,即可读出数据.第116页/共147页1185参考电平的连接在A/D转换器中,参考电平的作用是供给内部D/A转换器的标准电源.与A/D转换的精度密切相关.一般要求由稳压电源供电.采用外电源供电的8位A/D转换器,如:AD7574,ADC0809.采用内部设置精密参考电源的12位A/D转换器,如:AD574.根据模拟量输入信号极性不同,参考电压源的两个引脚接法不同.①当模拟量信号为单极性时,VREF(-)接模拟地,VREF(+)接参考电源正端.②当模拟量信号为双极性时,则VREF(-)和VREF(+)分别接参考电源负,正极性端.第117页/共147页1196时钟的连接时钟频率是决定A/D转换速度的基准.A/D转换时钟的提供方法有两种:①由外部时钟提供可以用单独的振荡器,更多使用CPU时钟经分频后,送至A/D转换器的时钟端子.②由芯片内部提供若A/D转换器内部设有时钟振荡器,一般不需任何附加电路,如AD574A.注意:有些转换器,使用内部时钟或外部时钟均可.第118页/共147页120第119页/共147页121第120页/共147页122第121页/共147页1237接地问题在包括A/D转换器组成的数据采集系统中,有许多接地点.这些接地点常被看作逻辑电路的返回端(数字地),模拟公共端(模拟电路返回端)模拟地.有些A/D转换器还单独提供了模拟地和数字地接线端,分别有自己的引脚.在连接时,应将这两种接地引脚分别接至系统的模拟地和数字地上,再将这两种“地”用一根导线连接起来.第122页/共147页1242.3.48位A/D转换器的程序设计若系统的参数不多,且变换速度较快,A/D转换器的转换时间比较短,多用查询方式.若系统的参数较多,变换速度比较慢,所采用的A/D转换器的转换时间比较长,一般用中断方式.A/D转换器的程序设计主要分三步:①启动A/D转换;②查询或等待A/D转换结束;③读出转换结果.在设计A/D转换程序时,必须和硬件接口电路结合起来进行.第123页/共147页1251采用中断方式关键:把A/D转换器的结束标志线与51系列单片机的或中断请求引脚相连.当启动A/D转换后,单片机可以继续执行其程序.一旦A/D转换结束,即通过中断请求引脚向CPU申请中断,CPU响应后,转到相应的中断服务程序,读出A/D转换结果.第124页/共147页126完成中断方式的A/D转换的程序分两部分:①主程序.即设置触发方式,中断方式,开中断等;②中断服务程序上图所示中断方式的A/D转换程序如下:主程序:ORG0000HAJMPMAINORG0003HAJMPATODORG0200HMAIN:SETBIT0SETBEX0SETBEAMOVDPTR,#AREADMOVX@DPTR,AHERE:AJMPHERE中断服务程序:ORG0220HATOD:PUSHPSWPUSHACCPUSHDPLPUSHDPHMOVDPTR,#AREADMOVXA,@DPTRMOVDATAR,APOPDPHPOPDPLPOPACCPOPPSWRETIAREADEQUOFF80HDATAEQU50H第125页/共147页127MCS-51系列单片机和ADC0809的接口第126页/共147页128◆单片机的端口0作为复用数据总线,与ADC0809的数据输出端相连◆单片机的低3位数据线(选通abc)用于选择8路模拟量输入◆ADC0809的时钟信号CLK由单片机的ALE信号提供◆转换的启动信号START和8路模拟输入开关的地址锁存允许信号ALE由单片机的写信号WR及地址译码输出信号逻辑提供。◆本接口用P2.7作I/O地址选择信号,相当于用ADC0809的片选信号作启动信号,其地址为7FFFH第127页/共147页129◆转换开始时,EOC端降为低电平,当转换结束后,EOC升为高电平。本电路用中断方式通知单片机转换已经结束。也可采用查询方式和等待方式,但这两种方式单片机的利用率低。◆对本接口电路可编出相应的程序。在主程序中要对外部中断进行预置,然后启动ADC0809进行A/D转换。设由IN0路开始,8路模拟量轮流输入。转换结束后,转入中断服务子程序,把转换结果读入8031的累加器,并存入相应缓冲存储单元50H~57H,再由主程序进行处理第128页/共147页130单片A/D转换器及其与微处理器的接口(12)◆转换程序如下:ORG2000HSETBIT0;外中断请求信号为下跳沿触发方式SETBEA;总中断开放SETBEX0;开外中断0MOVDPTR,#7FFFH;ADC0809口地址MOVR0,#50H;R0作存数缓冲器指针MOVR1,#00H;R1作通道数指针MOVA,R1;从IN0路开始MOVX@DPTR,A;启动A/D转换……;继续主程序,等待中断第129页/共147页131单片A/D转换器及其与微处理器的接口(13)◆中断子程序:ORG0003H;外中断0的入口地址AJMPRDDAT;转移至读入数据处RDDAT:MOVXA,@DPTR;读取A/D转换数据MOV@R0,A;存入缓冲器INCR0;增量缓冲器指针INCR1;指向下一通道REP:MOVA,R1;MOVX@DPTR,A;启动下一路转换CJNEA,#07H,RMP0;所有路都转换过吗?MOVR1,#00H;是,重新从IN0路开始SJMPREPREMP0:RETi;否,中断返回第130页/共147页1322查询方式ADC0816/0817的时钟信号来自单片机的ALE信号.用P2.7控制A/D转换的启动与转换结束后数字量的读取.转换器的地址锁存允许端(ALE)和启动端(START)相连.转换结束时输出高电平,作为查询信号.第131页/共147页1333延时方式从模拟量转换为计算机所能接收的数字量需要一定的时间,当A/D转换器启动后,需要等待转换结束信号生效后才能读出A/D转换结果.若设计时A/D芯片已经选定,则其转换时间也是定值.可用延时的方法,确定读取结果的时间.用软件方式延时,编程简单,但花费机时;采用定时器则需要对其进行初始化编程,但延时过程中不占用机时.第132页/共147页1342.3.5高于8位的A/D转换器及其接口技术1AD574的结构及原理12位逐次逼近型快速A/D转换器.转换速度最快35µs,转换误差±0.05%,价格适中.内部含三态输出缓冲电路,可直接与各种微处理器连接,无须附加逻辑接口电路,便能与CMOS和TTL电平兼容.内部配置的高精度参考电压源和时钟电路,不需要任何外接电路和时钟信号,就可完成A/D转换.第133页/共147页135AD574由两部分组成,即模拟芯片和数字芯片.①模拟部分由高性能的12位D/A转换器AD565和参考电压组成.②数字部分由控制逻辑电路,逐次逼近型寄存器和三态输出缓冲器组成.第134页/共147页1362AD574的引脚及功能AD574引脚结构:28脚双列直插式封装第135页/共147页137该芯片有些引脚的功能与ADC0809相似,如数据输出、供电电压、接地端等.在AD574A芯片上有两组控制引脚,即通用控制引脚(CE,和),以及内部寄存器控制引脚(和A0).①转换器的启动和数据读出是由CE,和引脚来控制的.②为数据 格式 pdf格式笔记格式下载页码格式下载公文格式下载简报格式下载 选择端.③A0——转换和读字节选择信号。决定转换位数时:A0=0,进行12位A/D转换;A0=1,进行8位A/D转换。读12位转换结果时:A0=0,读取转换结果中的高8位数据;A0=1,读取低4位数据。第136页/共147页138◆控制逻辑CECSR/C12/8A0操作功能100X0启动12位转换10001启动8位转换1011X输出12位数字10100输出高8位数字10101输出低4位数字0XXXX无操作X1XXX无操作第137页/共147页1393AD574A的应用①单极性输入当输入范围在(0~+10)V时,从10VIN引脚输入,当信号电压范围在(0~+20)V时,则从20VIN引脚输入.BIPOFF接0V第138页/共147页140②双极性输入当输入电压范围为(-5~+5)V时,从10VIN引脚输入,当输入电压范围为(-10~+10)V时,从20VIN引脚输入.BIPOFF接10V第139页/共147页1414高于8位的A/D转换器接口技术及程序设计第140页/共147页142由于AD574A内部含三态锁存器,故可直接与单片机数据总线接口连接。采用12位向左对齐输出格式。读出时,先读DB11~DB4,再读DB3~DB0。AD574的标志位STS直接接到P1.0位,便于用寻位指令查询.AD574共有5根控制逻辑线,完成寻址,启动和读出功能.①由于数据格式选择端恒为低电平,所以数据分两次读出.②启动A/D和读取转换结果,用CE,和三个引脚控制.接地,芯片总被选中;CE由写信号和读信号通过一个与非门控制,不论处于何状态,CE总为1;控制端由P0.1控制.所以,P0.1=0时,启动A/D转换,P0.1=1时,读取A/D转换结果.③字节控制端A0由P0.0控制.在转换过程中,A0=0,按12位转换;读数时,P0.0=0读取高8位数据,P0.0=1,则读出低4位数据.第141页/共147页143本图中高8位地址未用,只用低8位地址,采用寄存器寻址方式.设启动A/D转换的地址是0FCH,读取高8位和低4位数据的地址分为0FEH,0FFH.查询方式的A/D转换程序为:ORG0200HATOD:MOVDPTR,#9000HMOVP2,#0FFHMOVR0,#0FCHMOVX@R0,ALOOP:JBP1.0,LOOPINCR0INCR0MOVXA,@R0MOVX@DPTR,AINCR0INCDPTRMOVXA,@R0MOVX@DPTR,AHERE:AJMPHERE第142页/共147页1442.3.6串行A/D转换器及其接口技术第143页/共147页145第144页/共147页146第145页/共147页147模拟量输入通道的组成第146页/共147页
本文档为【模拟量输入输出通道】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
莉莉老师
暂无简介~
格式:ppt
大小:2MB
软件:PowerPoint
页数:147
分类:
上传时间:2021-11-21
浏览量:15