首页 ISE软件使用(电子科技大学)

ISE软件使用(电子科技大学)

举报
开通vip

ISE软件使用(电子科技大学)ISE软件使用新建项目仿真设计实现设计流程综合设计流程文本编辑器、图形编辑器VHDL综合器(逻辑综合、优化)FPGA/CPLD布线/适配器(自动优化、布局、布线、适配)VHDL仿真器(行为仿真、功能仿真、时序仿真)编程器/下载电缆(编程、下载)测试电路(硬件测试)网表文件(EDIF、XNL、VHDL…)门级仿真器(功能仿真、时序仿真)各种编程文件新建项目在桌面上单击XilinxISE9.1i进入项目管理器单击【File】【NewProject】如下图,填写项目名称、项目路径,然后单击【Next】如下图,选择目标器件...

ISE软件使用(电子科技大学)
ISE软件使用新建项目仿真 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 实现设计 流程 快递问题件怎么处理流程河南自建厂房流程下载关于规范招聘需求审批流程制作流程表下载邮件下载流程设计 综合设计流程文本编辑器、图形编辑器VHDL综合器(逻辑综合、优化)FPGA/CPLD布线/适配器(自动优化、布局、布线、适配)VHDL仿真器(行为仿真、功能仿真、时序仿真)编程器/下载电缆(编程、下载)测试电路(硬件测试)网表文件(EDIF、XNL、VHDL…)门级仿真器(功能仿真、时序仿真)各种编程文件新建项目在桌面上单击XilinxISE9.1i进入项目管理器单击【File】【NewProject】如下图,填写项目名称、项目路径,然后单击【Next】如下图,选择目标器件,然后单击【Next】单击【Next】单击【Next】单击【Finish】单击【Project】【NewSource】如下图,选择源文件类型【VHDLModule】,填写源文件名称、路径然后单击【Next】如下图,填写输入输出端口名、端口模式、是否总线、总线的标号范围,然后单击【Next】进入文本编辑器在文本编辑器中输入源文件在Source窗口中选中需要处理的源文件,在Process窗口中双击Synthesize-XST。综合仿真如下图,单击【CreateNewSource】,选择源文件类型【VHDLTestBench】,填写源文件名称、路径然后单击【Next】如下图,选择与仿真测试文件所对应的源文件,然后单击【Next】在测试文件的tb进程中对输入信号进行赋值如下图,在Sourcefor中选择【BehavioralSimulation】如下图,在Processes窗口中双击【SimulateBehavioralModel】按下图选择【RunAll】,【Zoomout】【Zoommode】。然后观察波形。如下图,在Sourcefor选择【Synthesis/Implementation】设计实现如下图,在Sources窗口中选中源文件,在Processes窗口中双击UserConstraints下的【AssignPackagePins】单击【Yes】,创建ucf文件如下图,在LOC一列输入IO端口所对应的管脚号,并保存光标移至【GenerateProgramingFile】后单击鼠标右键,然后单击【Properties】.按下图所示进行选择。双击【GenerateProgramingFile】关闭该窗口双击【GenerateProm,ACE,orJTAGFile】双击【Finish】选择后缀为bit的文件,单击【Open】单击单击【Bypass】1、光标移至该图标,单击右键2、单击Program完成下载单击【Ok】下载成功。在开发板上观察结果。
本文档为【ISE软件使用(电子科技大学)】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
正方体
暂无简介~
格式:ppt
大小:4MB
软件:PowerPoint
页数:37
分类:
上传时间:2022-05-11
浏览量:51