首页 数字电路第2章习题解答

数字电路第2章习题解答

举报
开通vip

数字电路第2章习题解答第2章 组合逻辑电路分析与设计 2-1 图2-5是两个CMOS逻辑门的内部结构图,试说出逻辑门的名称,并写出输出函数表达式,画出其逻辑符号。 解 图2-5(a)电路实现与门功能,输出函数表达式为F=AB,其逻辑符号如图2-1(a)所示。图2-5(b)电路实现A和 的或非运算,输出函数表达式为 ,逻辑符号如图2-1(b)所示,其中,输入信号B所接输入端的小圆圈表示取非操作。 2-2 已知74S00是2输入四与非门,IOL=20mA,IOH =1mA,IIL=2mA,IIH=50μA;7410是3...

数字电路第2章习题解答
第2章 组合逻辑电路分析与设计 2-1 图2-5是两个CMOS逻辑门的内部结构图,试说出逻辑门的名称,并写出输出函数表达式,画出其逻辑符号。 解 图2-5(a)电路实现与门功能,输出函数表达式为F=AB,其逻辑符号如图2-1(a)所示。图2-5(b)电路实现A和 的或非运算,输出函数表达式为 ,逻辑符号如图2-1(b)所示,其中,输入信号B所接输入端的小圆圈表示取非操作。 2-2 已知74S00是2输入四与非门,IOL=20mA,IOH =1mA,IIL=2mA,IIH=50μA;7410是3输入三与非门,IOL=16mA,IOH =0.4mA,IIL=1.6mA,IIH=40μA。试分别计算74S00和7410的扇出系数。理论上,一个74S00逻辑门的输出端最多可以驱动几个7410逻辑门,一个7410逻辑门的输出端最多可以驱动几个74S00逻辑门? 解 74S00驱动74S00: , 。所以,74S00的扇出系数NO=10。 7410驱动7410: , 。所以,7410的扇出系数NO=10。 74S00驱动7410: , 。所以,74S00可以驱动12个7410的输入端。 7410驱动74S00: , ,所以,7410可以驱动8个74S00的输入端。 2-3 图2-7中的逻辑门均为TTL门。试问图中电路能否实现 , , 的功能?要求说明理由。 解 图2-7(a)需要确定在与非门输出信号驱动下,三极管能否实现非门功能。 当与非门输出低电平(约0.3V)时,由于三极管的 (三极管的导通电压),所以三极管截止,集电极电阻( )上的压降为0,F1输出高电平(+5V)。 当与非门输出高电平(约3.6V)时,三极管导通,VBE=0.7V,基极电流为 三极管的基极饱和电流为(设三极管饱和输出电压VCES=0.2V) 由于IB>IBS,三极管饱和,F1输出低电平(0.2V)。 综上所述,三极管实现非门功能。整个电路是一个与非-非结构,实现与运算 。 图2-7(b)所示电路中,当与非门输出高电平(3.6V)时,三极管导通,导通后的三极管的VBE基本上被钳制在0.7V,把与非门输出电平也下拉到0.7V,多余的高电平由逻辑门内部的输出电路负担,逻辑门输出电流过大。不仅造成逻辑门输出电平错误,而且容易损坏器件。所以,该电路结构是不正确的。 图2-7(c)所示电路是两个集电极开路与非门的输出信号采用“线与”连接的结构。该电路存在的问题是,缺少集电极开路门输出端必须的上拉电阻和上拉电源。所以,该电路不能实现 。 2-4 试用OC与非门实现逻辑函数 ,假定不允许反变量输入。 解 用OC与非门及其线与功能实现的逻辑函数形式为“与非-与”。通过卡诺图化简求取最简“与非-与”表达式时,应该圈0,先写出最简或与式,然后变换成“与非-与”形式。电路如图2-8所示。 2-5 某组合逻辑电路如图2-9(a)所示 (1) 写出输出函数F的表达式; (2) 列出真值表; (3) 对应图2-9(b)所示输入波形,画出输出信号F的波形; (4) 用图2-9(c)所示与或非门实现函数F(允许反变量输入)。 解 (1)图2-9(a)中的两个三态门分时操作,当控制输入变量E=0时,三态与门工作,输出信号 ;当E=1时,三态非门工作,输出 。综合上述情况,输出函数为 。 (2)输出函数F的真值表如表2-4所示。 (3)输出信号的波形如图2-10(a)所示。 (4)用与或非门实现逻辑函数时,需要先将函数化简为最简与或非式,采用卡诺图圈0化简(a)中求得的函数F,得到最简或与式为 ,经变换后得到最简与或非式为 ,用图2-9(c)所示与或非门实现该表达式时,应正确处理多余的逻辑门和多余的输入端,实现函数F的电路如图2-10(b)所示。 2-6 写出图2-11所示电路的输出函数表达式,说明该电路的逻辑功能和每个输入变量和输出变量的含义。 解 由逻辑门构成的组合逻辑电路的输出函数表达式容易求得,只要按照信号传输路径,从输入端写到输出端即可。 该电路是一个带使能端的四选一数据选择器(MUX),使能端 低电平有效,选择输入端(地址输入端)是A1A0,数据输入端是X0~X3,Y是数据输出端。当 时,MUX无效,输出信号Y总是0;当 时,Y输出A1A0选中的Xi。 2-7 列表说明图2-12所示电路中,当S3S2S1S0作为控制信号时,F与A、B的逻辑关系。 解 首先写出函数F的表达式 该电路是在S3S2S1S0控制下的函数发生器,在不同的S3S2S1S0取值下,F是A、B不同的逻辑函数,可以实现常量0、1输出;单变量输出(原变量或反变量形式);与、与非、或、或非、异或、同或等逻辑功能,具体逻辑功能如表2-5所示。函数发生器是计算机CPU的基本功能,是CPU中算术逻辑单元(ALU)的重要组成部分。 2-8 译码器74154构成的逻辑电路如图2-13所示,写出输出函数的最小项表达式。 解 74154是4线-16线全译码器,输出信号低电平有效。16个译码输出变量是4个编码输入变量的所有最大项 (i=0~15),利用这个特点可以实现四变量的逻辑函数。图2-13所示电路的输出函数F的表达式为 2-9 图2-14图是由2线-4线译码器和8选1数据选择器构成的逻辑电路,各模块的输入输出端都是高电平有效,试写出输出函数表达式,并整理成∑m形式。 解 高电平有效的2线-4线译码器的输出变量是译码输入变量的所有最小项,从而电路中MUX的输入变量为 8选1MUX输出函数的一般表达式为 本题中 2-10 分别用与非门实现下列逻辑函数,允许反变量输入。 (1) 解 用两级与非门电路实现逻辑函数,是采用逻辑门实现组合逻辑电路最常用的方法。两级与非门电路结构和与非-与非表达式形式相对应,而与非-与非表达式可以由与或表达式经简单变换得到。 本题应先将函数变换为与或式,然后填入卡诺图,在卡诺图上圈1,求出最简与或式,再变换为最简与非-与非式,最后画出与非门电路图,如图2-15所示。 (2) 解 经卡诺图化简(略),可以求出最简与或式,变换后可以得到最简与非-与非式。 我们同时画出最简与-或电路图和最简与非电路图,如图2-16所示。 比较两个电路图可以看出,最简与-或电路和最简与非电路的输入信号和连接关系完全相同,只需要将与门和或门都替换为相应与非门即可。所以,采用卡诺图化简法求最简与非门电路时,只要求出最简与或式就可以直接画出与非门电路图,不用求出最简与非表达式。 (3) 解 经卡诺图圈1化简(略),求得最简与或式为 ,直接画出该式对应的与非门电路如图2-17所示。 (4) 解 多输出函数的化简要考虑共用逻辑门,卡诺图化简后的最简与或式为 实现F1和F2的与非门电路如图2-18所示,两个函数共用了一个3输入与非门和一个4输入与非门。 2-11 分别用与非门和或非门实现函数(允许反变量输入)。 解 通过卡诺图化简求出最简与或式和最简或与式,然后分别变换成最简与非-与非式和最简或非-或非式(概念清楚时可以省略该步骤)。 最后分别画出与非门电路和或非门电路,如图2-19所示。 2-12 试用3输入与非门实现函数 ,允许反变量输入。 解 本题属于逻辑门输入端受限类型,应对表达式进行变换,使每个与非项的变量数在3个以内。经卡诺图化简验证,给定的函数表达式已经是最简与或式。为了进一步简化电路,注意到给定的函数表达式中,后三个乘积项都包括变量B,利用这个特点进行变换,在多级电路的基础上,可以将所用逻辑门的个数减到最少,电路如图2-20所示。 2-13 试用一片2输入四与非门芯片7400实现函数 ,不允许反变量输入。 解 首先化简函数F,求出最简与或式,然后按2输入与非门格式进行变换,电路如图2-21所示。 2-14 改用最少的与非门实现图2-22所示电路的功能。 解 首先,根据图2-22写出函数表达式,然后进行函数化简,求出最简与或式,并用与非门实现。在允许反变量输入的条件下,实现该函数只需要两个2输入与非门,电路如图2-23所示。 2-15 已知输入信号A、B、C、D的波形如图2-24所示,试用最少的逻辑门(种类不限)设计产生输出F波形的组合电路,不允许反变量输入。 解 本题自变量和函数的取值关系由波形图给出。首先应根据波形图列出函数F的真值表(若波形图上没有给出所有的自变量取值组合,则对于那些波形图上没有出现的自变量取值,相应的函数值为 ),如表2-6所示。本题的难点是要求用任意种类的逻辑门实现无反变量输入的最简电路,经过尝试,在最简或与式上做适当变换,可以用2个与门和2个或非门实现该电路,如图2-25所示。 2-16 不附加逻辑门、只用1片74LS83分别实现下列BCD码转换电路。 (1) 余3码到8421码的转换。 (2) 5421码到8421码的转换。 (3) 2421码到8421码的转换。 解 利用4位全加器芯片7483实现不同的BCD码相互转换的关键是要充分利用7483的加法运算能力,注意从BCD编码转换表中梳理两种编码各码字之间的取值关系,确定其中的运算关系,本题各种BCD编码对照表如表2-7所示。 表2-7 十进制数 8421码 5421码 2421码 余3码 0 0000 0000 0000 0011 1 0001 0001 0001 0100 2 0010 0010 0010 0101 3 0011 0011 0011 0110 4 0100 0100 0100 0111 5 0101 1000 1011 1000 6 0110 1001 1100 1001 7 0111 1010 1101 1010 8 1000 1011 1110 1011 9 1001 1100 1111 1100 (1)由表2-7可见,余3码=8421码-(3)10=(8421码+(13)10)模16,即在4位二进制数的加减运算中,减(3)10等效于加(13)10。 设余3码为ABCD,8421码为WXYZ,则WXYZ=ABCD+(1101)2。实现余3码到8421码转换的电路如图2-26所示。 (2)设5421码为ABCD,8421码为WXYZ。由表2-7可见,当待转换的5421码≤(4)10时,8421码=5421码=5421码+(0000)2,注意到此时5421码最高位A=0;当5421码≥(5)10时,8421码=5421码-(3)10=5421码+(13)10=5421码+(1101)2,注意到此时5421码最高位A=1。所以,WXYZ=ABCD+AA0A。实现5421码到8421码转换的电路如图2-27所示。 (3)设2421码为ABCD,8421码为WXYZ。由表2-7可见,当待转换的2421码≤(4)10时,8421码=2421码=2421码+(0000)2,注意到此时2421码最高位A=0;当2421码≥(5)10时,8421码=2421码-(6)10=5421码+(10)10=5421码+(1010)2,注意到此时2421码最高位A=1。所以,WXYZ=ABCD+A0A0。实现2421码到8421码转换的电路如图2-28所示。 2-17 用一片4位全加器7483和尽量少的逻辑门,分别实现下列BCD码转换电路。 (1) 8421码到5421码的转换。 (2) 5421码到余3码的转换。 (3) 余3码到5421码的转换。 解 (1)设8421码为ABCD,5421码为WXYZ。由表2-7可见,当待转换的8421码≤(4)10时,5421码=8421码=8421码+(0000)2;当8421码≥(5)10时,5421码=8421码+(3)10=8421码+(0011)2。 首先,应该设计一个判别输入8421码是否大于4的电路,以便确定是否需要加3,这就是一个四舍五入电路,设该电路的输入是8421码,用ABCD表示,输出是F,F=0表示四舍,F=1表示五入,对于ABCD=1010~1111这六组非法取值,F=Φ。采用卡诺图化简法,可以求出F的最简与或式为F=A+BC+BD。 当F=0时,编码转换电路应该加0;当F=1时,编码转换电路应该加3。观察0和3的二进制值,可以将加数统一表示为二进制数(00FF)2。所以,WXYZ=ABCD+00FF。实现8421码到5421码转换的电路如图2-29所示。 (2)设5421码为ABCD,余3码为WXYZ。由表2-7可见,当待转换的5421码≤(4)10时,余3码=5421码+(3)10=5421码+(0011)2;当5421码≥(5)10时,余3码=5421码=5421码+(0000)2。 由5421码编码表可以发现,这道题不必专门设计5421码是否大于4的判别电路,输入5421码的最高位A就可以作为加0还是加3的判别标志。 当A=0时,应该加3;当A=1时,应该加0。所以,加数应该统一表示为 , 。实现5421码到余3码转换的电路如图2-30所示。 (3)设余3码为ABCD,5421码为WXYZ。由表2-7可见,当待转换的余3码≤(4)10时,5421码=余3码-(3)10=余3码+(13)10=余3码+(1101)2;当余3码≥(5)10时,5421码=余3码=余3码+(0000)2。 显然,输入余3码的最高位A就可以作为加13还是加0的判别标志。 当A=0时,应该加13;当A=1时,应该加0。所以,加数可以统一表示为 , 。实现余3码到5421码转换的电路如图2-31所示。 2-18 试用4位全加器7483和4位比较器7485实现一位8421BCD码全加器。 解 采用4位二进制数全加器芯片实现8421BCD码加法运算的关键是两者进位时刻不同,4位二进制加法器逢十六进一;而8421BCD码加法器则是逢十进一。采用7483进行8421码加法运算时,必须在和大于9时,进行加6校正。 如何产生校正信号是设计难点,该问题在教材中有详细叙述。主教材例2-6采用逻辑门设计校正电路,本题则采用集成比较器7485完成同样功能。参见教材表2-16,用7483对两个8421码求和时,当7483的进位C4=1,或7483的和输出S3S2S1S0>(9)10时,应该对结果进行加6校正。本题用一片4位比较器7485判别7483的和输出是否大于(9)10,大于时执行加6校正。另外,7483进位输出C4=1时也要执行加6校正。 完整电路如图2-32所示,7483(1)用于两个8421码相加,7485和或门产生校正信号,7483(2)实现校正操作,需要校正时,加6;不需要校正时,加0。 2-19 试用4位全加器7483实现一位余3 BCD码加法器,允许附加其它器件。 解 先用一片4位二进制全加器(7483(1))将两个1位余3码当作两个4位二进制数相加,和如表2-8中的C4S3S2S1S0所示,该输出值与需要的余3码输出值(2位余3码:十位是WXYZ,个位是ABCD)之间的关系如表2-8所示。 根据取值关系,可以得到如下表达式,用另一片4位全加器(7483(2))和一个非门实现该数值转换,如图2-33所示。 2-20 设A、B、C为三个互不相等的四位二进制数,试用四位二进制数比较器7485和二选一数据选择器设计一个逻辑电路,从A、B、C中选出最大的一个输出(用框图形式给出解答)。 解 解题思路是,用比较器比较两个数的大小,用比较结果作为数据选择器的选择信号,控制数据选择器选择较大的数据。 先比较A和B,根据比较结果,用4个2选1数据选择器从A和B中选择较大的一个输出(记作MAX(A,B));将MAX(A,B)再和C比较大小,并根据比较结果从中选择较大的数输出,该数就是A、B、C中的最大值,记作MAX(A,B,C)。电路框图如图2-34所示。 2-21 二进制码到循环码的转换 (1)完成3位二进制码(B2B1B0)转换为典型循环码(G2G1G0)的真值表,如表2-9所示。 (2)推导G2、G1、G0的逻辑表达式。 (3)用图2-35所示的3线-8线译码器和8线-3线编码器实现3位二进制码到循环码的转换,并加以文字说明(芯片输入输出都是高电平有效)。 解 (1)3位二进制码(B2B1B0)转换为典型循环码(G2G1G0)的真值表如表2-10所示。 (2)由于题目没有要求函数表达式的形式,直接由真值表写出最小项表达式即可,我们还进一步写出了反映这种编码转换运算特征的表达式形式。 (3)3位二进制码 输入3-8译码器后,译码器用输出高电平指示输入编码值,例如,当 时,译码器输出端 ,其它输出端都为0;当 时,译码器输出端 ,其它输出端都为0。而8-3编码器的工作原理是,当输入端 时,编码器输出k对应的二进制值。例如,当 ,其它输入端都为0时,输出编码是 。显然,应该将译码器输出和编码器输入恰当地连接起来,根据编码转换真值表和编译码器功能, 时,译码器Y0有效,查阅编码转换表,此时应有 ,要求编码输入端I0有效,所以, 。又如, 时,译码器Y5有效,查阅表2-10,此时应有 ,要求编码输入端I7有效,所以, 。译码器输入和输出、编码器输入和输出的关系如表2-11所示。完整电路如图2-36所示。 2-22 设有A、B、C三个输入信号通过排队逻辑电路分别由三路输出,在任意时刻,输出端只能输出其中的一个信号。如果同时有两个以上的输入信号时,输出选择的优先顺序是:首先A,其次B,最后C。列出该排队电路的真值表,写出输出函数表达式。 解 首先定义输入、输出变量:设三路输入信号A、B、C无信号时为0,有信号时为1;三路输出信号F1、F2、F3无输出时为0,有输出时为1。 然后根据题目含义列出真值表,如表2-12所示。 最后写出函数表达式:由真值表可以看出,函数关系十分简单,无需化简就可以直接写出输出函数的最简与或式: 2-23 学校举办游艺会,规定男生持红票入场,女生持绿票入场,持黄票的人无论男女都可入场。如果一个人同时持有几种票,只要有符合条件的票就可以入场。试分别用与非门和或非门设计入场控制电路。 解 定义变量:设A表示性别,取值0为男,1为女;B、C、D分别表示黄票、红票和绿票,取值0表示无票,1表示有票;输出变量F=0表示不能入场,F=1表示可以入场。 列出真值表,如表2-13所示。 卡诺图化简(略),求出函数F的最简与或式和或与式 分别用与非门和或非门实现的电路如图2-37所示,允许反变量输入。 2-24 一个走廊的两头和中间各有一个开关控制同一盏灯。无开关闭合时,电灯不亮;当电灯不亮时,任意拨动一个开关都使灯亮;当灯亮时,任意拨动一个开关都使灯熄灭。试用异或门实现该电灯控制电路。 解 设三个开关为A、B、C,取值为0表示“关”,1表示“开”;电灯用F表示,0为灭,1为亮。又设三个开关都关闭时,灯不亮,即变量ABC=000时,F=0。 根据题意,真值表如表2-14所示。 由真值表可以看出,当自变量取值中有奇数个1时,函数值为1,所以函数表达式为 电路如图2-38所示。注意,74系列只有两输入异或门。 2-25 设A、B、C、D分别代表四对话路,正常工作时最多只允许两对同时通话,并且A路和B路、C路和D路、A路和D路不允许同时通话。试用或非门设计一个逻辑电路(不允许反变量输入),用以指示不能正常工作的情况。 解 设A、B、C、D取值为1表示通话,0表示不通话;F=1表示不能正常工作。 真值表如表2-15所示。 用卡诺图化简(略),求得的最简或与式为 。 或非门电路如图2-39所示。 2-26 用与非门为医院设计一个血型配对指示器,当供血和受血血型不符合表2-16所列情况时,指示灯亮。 解 首先需要确定输入、输出变量:输入信号是供血方的血型和受血方的血型,供血方的血型有A、B、AB、O四种,受血方的血型也是这四种,表示血型信息可以有不同的变量和变量取值的定义方法。这里我们采用编码方式表示血型信息:设供血方的血型用变量WX的取值表示,受血方的血型用变量YZ的取值表示,血型编码为:O型(00)、A型(01)、B型(10)、AB型(11),即当WX=00时,表示供血方的血型为O型;YZ=00则表示受血方的血型为O型。输出信号是血型配对结果,用F表示,F=1表示血型不符,指示灯亮(需要一个高电平驱动的指示灯);F=0表示血型配对成功,指示灯不亮。 根据上述变量定义和表2-16中的血型配对,可以导出真值表如表2-17所示。 采用卡诺图化简(圈1)可以求出最简与或式: 与最简与或式相应的与非门电路如图2-40所示(允许反变量输入)。 2-27 分别用3线-8线译码器74138和必要的逻辑门实现下列逻辑函数: (1) (2) (3) (4) 解 74138是输出低电平有效的3线-8线全译码器,8个译码输出变量是3个编码输入变量的所有最大项。运用逻辑函数最大项表达式的概念,可以用一个74138和一个与门实现任意一个3变量的逻辑函数;由于最大项就是最小项的非,通过对最小项表达式取两次非,可以将最小项表达式写成“最小项之非”的与非形式,从而可以用一个74138和一个与非门实现逻辑函数。 (1) ,电路如图2-41所示。 对函数的最小项表达式进行变换,有 ,该表达式对应的电路如图2-42所示。 我们可以将上述两种实现方法归纳为:对于输出低电平有效的译码器,可以选取构成函数的最大项对应的输出端,外加一个与门实现;也可以选取构成函数的最小项对应的输出端(除最大项对应的输出端之外的另一组输出端),外加一个与非门实现。 采用74138实现逻辑函数时,还要注意的是:74138的使能输入端 ,自变量ABC接74138的A2A1A0。 (2) 这里只给出用74138加与门实现的电路,如图2-43所示。 (3)首先将函数变换成最小项表达式形式,有 ,用74138和一个3输入与非门实现的电路如图2-44所示。 (4)先将函数变换成最大项表达式的形式,有 ,用74138和一个2输入与门实现的电路如图2-45所示。 2-28 试用输出高电平有效的4线-16线译码器和逻辑门分别实现下列函数: (1) (2) (3) (4) 解 输出高电平有效的译码器的输出变量是编码输入变量的最小项,通常可以采用外加或门的方法实现最小项之和,从而实现所需的逻辑函数;也可以对要实现函数的最大项表达式进行变换,将其写成最小项的或非形式,采用译码器外加一个或非门实现逻辑函数。 为了简便起见,我们用一个4线-16线译码器和四个逻辑门实现本题的四个逻辑函数。将输入变量A、B、C、D送到译码器的编码输入端A3、A2、A1、A0。 由于函数 是一个3变量函数,而另外三个函数X、Y、Z都是4变量函数,为了统一使用一组输入变量A、B、C、D,先将函数W扩充为4变量函数。 由于函数Y的最大项表达式中包含11个最大项,直接实现需要一个11输入的或非门,而将其转换为最小项表达式后,其中只包含5个最小项,用一个5输入的或门即可: 求函数Z的最小项表达式时,不要试图将异或运算转换为与、或运算,而是应该利用异或运算的特点,直接确定真值表,从而也就确定了组成函数的最小项: 电路如图2-46所示。 2-29 试用3线-8线译码器74138和必要的逻辑门实现5线-32线译码器。 解 本题是典型的译码器规模扩展问题。5线-32线译码器有5个编码输入端,输入5位二进制编码,32个译码输出端输出译码结果,任意时刻有且只有一个输出端有效。3线-8线译码器有3个编码输入端,8个译码低电平有效的输出端。显然,4片74138可以提供32个译码输出端,将5个编码输入端的低3位用来进行片内译码,高2位用于实现片选,同时利用74138的3个使能端实现片选,可以最大限度地减少外加逻辑门的数量。完整的电路如图2-47所示。当 时,74138(1)被选中,根据 的输入值, 中有一个为低电平,其它三个芯片的输出端都是高电平;当 时,74138(2)被选中,根据 的输入值, 中有一个为低电平,其它三个芯片的输出端都是高电平;依此类推。构造电路时,要特别注意,输入的编码值要和译码输出端的下标数值一致。 2-30 试用高电平译码输出有效的4线-16线译码器和逻辑门设计一个组合逻辑电路,计算两个两位二进制数的乘积。 解 该问题分为两个部分:一是设计一个用于计算两个2位二进制数的乘积的电路,二是用译码器实现该电路。 首先设计该乘法电路,设两个2位二进制数用A1A0和B1B0表示,乘积是4位二进制数,用P3P2P1P0表示。根据乘法规则,列出真值表,如表2-18所示。 采用译码器实现逻辑函数时,不必化简逻辑函数。根据真值表,直接写出输出函数的最大项表达式。 最后,画出用高电平译码输出有效的4线-16线译码器和3个或门实现的电路图,如图2-48所示。 2-31 分别用四选一和八选一数据选择器实现下列逻辑函数 (1) (2) (3) (4) 解 四选一MUX的输出函数表达式为 八选一MUX的输出函数表达式为 (1) 用四选一MUX时,先将函数写成最小项表达式的变量形式 提取自变量AB作为四选一的地址变量,即A1A0=AB,按四选一MUX输出函数表达式的形式整理函数表达式,有 将函数表达式与四选一MUX的表达式相比较,显然, 用八选一时,三个自变量都用作MUX的地址变量,令A2A1A0=ABC,显然, 采用四选一和八选一实现逻辑函数的电路如图2-49和2-50所示。 (2)用MUX实现四变量逻辑函数时,用降维卡诺图法比较清楚,特别是当给定的逻辑函数包含任意项时,更不方便直接在表达式上进行变换。 用四选一MUX时,首先画出卡诺图,如图2-51所示,选AB作为地址变量,即A1A0=AB。按AB取值00、01、10、11分别合并,和0圈在一起的Φ取值为0,和1圈在一起的Φ取值为1。由卡诺图可以看到, 。实现函数功能的四选一MUX电路如图2-52所示。 用八选一MUX时,首先选择地址变量,令A2A1A0=BCD。画出降维卡诺图,如图2-53所示,合并只能沿垂直方向进行,由降维卡诺图化简可得 。用八选一MUX实现逻辑功能的电路如图2-54所示。 (3)用四选一MUX时,令A1A0=AB,画出卡诺图,如图2-55所示,可见, 。实现函数功能的四选一MUX电路如图2-56所示。 用八选一MUX时,令A2A1A0=BCD,画出降维卡诺图,如图2-57所示,由降维卡诺图化简可得 。用八选一MUX实现逻辑功能的电路如图2-58所示。 (4)用四选一MUX时,令A1A0=AB,画出降维卡诺图,如图2-59所示。可见 ,实现函数功能的四选一MUX电路如图2-60所示。 用八选一MUX时,令A2A1A0=CDE,由降维卡诺图图2-61化简可得 。用八选一MUX实现逻辑功能的电路如图2-62所示。 2-32 试用双四选一数据选择器74153实现十六选一数据选择器。 解 本题属于典型的MUX扩展问题。用四选一实现十六选一的基本思路是,先用4个四选一从16路输入信号中选出4路,再用一个四选一从这4路中选出1路。十六选一有16个数据输入端D0~D15和4个地址输入端A3A2A1A0,设计的关键是4个地址输入端的使用。经过简单尝试就可以发现,合理的地址线连接方式应该是:将低2位地址A1A0接在用于初选(16选4)的4个四选一地址端上;高2位地址A3A2用作下一级四选一的地址。改变地址线的连接方式也可以,但会造成地址与输入数据线的序号混乱。74153是双四选一MUX,片内的两个四选一共用地址线,每个四选一有各自的低电平有效使能端G1、G2,本题中将它们接在一起,用作十六选一的使能端,本题电路需要3片74153,电路如图2-63所示。 2-33 试用四选一数据选择器和必要的逻辑门设计一个1位二进制数全加器。 解 设1位全加器的输入是A、B、C(进位输入),输出是J(进位)、S(和),则其真值表如表2-19所示,输出函数的最小项表达式为 用四选一实现J和S时,令MUX地址变量 ,则有 用一片74153双四选一MUX实现1位全加器的功能,电路如图2-64所示(允许反变量输入)。 2-34 只用1片图2-65所示双4选1数据选择器实现下列函数,允许反变量输入。 解 本题是一道需要不断尝试、技巧性很强的题目。首先,列出函数F的卡诺图,如图2-66所示,从中寻找线索。 显然,无论选择AB、还是选择CD作为MUX的地址都无法使化简后的项为单变量。修改卡诺图,选择AC、AD、BC或BD作为地址也不行,即无法只用一个四选一实现函数F。经过尝试,选择CD作为MUX2的地址变量,即 ,则由卡诺图化简可得 其中2D2=A可以由外部直接提供, 和 必须由MUX1产生。由于一个MUX无法直接产生两个函数 和 ,需要将其合并。考虑到MUX2使用 时,C=0;使用 时,C=1,令MUX1输出函数为 可以满足要求,这是本题的关键一步。对于MUX1,取地址变量为 ,用四选一实现三变量异或运算比较简单,我们不加推导地给出下列结果。 完整电路如图2-67所示。 2-35 用一片4位二进制数全加器7483和一片含有4个二选一数据选择器的芯片74157及非门实现可控4位二进制补码加法/减法器。当控制端X=0时,实现加法运算;当X=1时,实现减法运算(提示:将减数取反加1后,进行加法运算)。 解 本题要求对两个4位二进制数A和B进行计算:当控制信号X=0时,做二进制加法;当X=1时,将B取反加1后,和A做二进制加法(就是将减变成补码加)。 显然,应该用全加器7483实现加法运算,用MUX芯片74157实现对原码或反码的选择。完整电路如图2-68所示,被加(减)数为A=A3A2A1A0,加(减)数为B=B3B2B1B0,用4个非门产生 ,用74157实现B和 的选择,用7483实现A+B和 ,运算结果由7483的C4S3S2S1S0输出。取反后的加1操作通过7483的进位输入来实现,运算选择输入信号X既用来实现加、减运算的选择(切换MUX通道),也用于控制是否加1。 2-36 设计一个数π=3.1415926(8位)的发生器。该电路的输入是从000开始、依次递增的3位二进制数,输出依次为3、1、4、……的8421BCD码(所用器件任选)。 解 本题含义是,设计一个电路,有三个输入端Q2Q1Q0,输入3位二进制数;四个输出端WXYZ,输出8421BCD码。输入000时,输出0011,这是3的8421码;输入001时,输出0001;依此类推。我们可以导出真值表,如表2-20所示。 根据真值表直接写出函数的最小项表达式 实现多输出函数时,采用译码器最合适。这里采用输出低电平有效的3线-8线译码器,当实现最小项表达式时,应该用与非门将每个输出函数所需的最小项组合起来(参见习题2-27),电路如图2-69所示。题目中所说的输入数值依次递增,通常是由外部的3位二进制计数器实现的,解题时不必考虑。数值中的小数点不要求输出,在定点计算机系统中,小数点的位置是系统默认的。 2-37 用适当容量的PROM实现8421BCD码的共阴极七段显示译码电路,要求画出与-或阵列图。 解 PROM实现逻辑函数的概念很简单,输入变量用作PROM的地址输入,函数就是PROM的输出位。一个4条地址线,8条数据线的PROM可以实现4输入、8输出的逻辑函数。共阴极七段显示器需要高电平有效的驱动信号。 实现8421码——七段显示码的转换需要PROM有4条地址线A3A2A1A0,用于输入1位8421码的4个比特 ABCD;7条数据线D6~D0,用于输出7位高电平有效的七段显示码abcdefg;PROM共有10个存储单元,每个单元可以存储7位数据。将输入的8421码用作PROM的地址,寻址相应的存储单元,该单元存储的7位数据就是与该8421码对应的七段显示码,经数据线D6~D0输出。真值表如表2-21所示,与或阵列如图2-70所示。 2-38 试将图2-71中PLA的各输出函数写成∑m的形式。 解 2-39 图2-72是一个输出极性可编程的PLA,试通过编程连接实现下列函数 解 图2-72所示是一个特定的PLA,它包括与阵列、或阵列,在或阵列的输出端还设置了一个可编程异或门,用于或阵列输出信号的同相或反相操作。当异或门的可编程输入端未被编程连接时,该输入端为1,此时异或门实现取非功能;当异或门的可编程输入端被编程连接时,该输入端为0,异或门实现同相传输功能。 将F2变换成与或非形式 ,F1和F2中的与运算用与阵列实现,或运算用或阵列实现,非运算通过对异或门编程实现。编程连接后的电路如图2-73所示。 2-40 试用PAL16L8实现一位全加器的逻辑电路,在图2-74上标明编程连接。 解 PAL16L8由可编程的与阵列和固定的或阵列构成,或阵列输出端有三态非门,使用时应使能输出端的三态非门,显然,PAL16L8要求函数表达式为与或非式。 设一位全加器的三个输入是A、B、C,和输出是S,进位输出是J。参见习题2-33中一位全加器的真值表,采用卡诺图化简(圈0)的方法,求出输出函数的最简与或非式。 阵列图如图2-75所示,引脚I0=1,配合相应的编程连接点,用于打开输出三态非门;全加器输入信号A、B、C接输入引脚I1、I2、I3;输出信号S、J由引脚I/O7、I/O6输出。 2-41 试编写一个实现3输入与非门的VHDL源程序。 解 -- 3-input Nand library IEEE; use IEEE.std_logic_1164.all; entity NAND3 is port(A,B,C: in STD_LOGIC; Y: out STD_LOGIC); end NAND3; architecture VER1 of NAND3 is begin Y<= not (A and B and C); end VER1; 2-42 试用with_select_when语句描述一个4选1数据选择器。 解 -- A Multiplexer 4-1 with “with_select_when” library IEEE; use IEEE.std_logic_1164.all; entity MUX4 is port (IN1, IN2, IN3, IN4: in BIT; SEL: in INTEGER; OUT1: out BIT); end MUX4; architecture MUX4_BODY of MUX4 is begin with SEL select OUT1<= IN1 when 0, IN2 when 1, IN3 when 2, IN4 when 3; end MUX4_BODY; 2-43 试用进程语句结构和if_then_elsif语句描述一个4选1数据选择器。 解 -- A Multiplexer 4-1 with “if_then_elsif” library IEEE; use IEEE.std_logic_1164.all; entity MUX4 is port (A,B,C,D: in STD_LOGIC; S: in STD_LOGIC_VECTOR (1 downto 0); X: out STD_LOGIC); end MUX4; architecture ARCH_MUX4 of MUX4 is begin process(S,A,B,C,D) begin if (S=00”) then X<=A; elsif (S=”01”) then X<=B; elsif (S=”10”) then X<=C; else X<=D; end if; end process; end ARCH_MUX4; 2-44 图2-76是一位二进制数全加器的电路图,试用元件例化语句描述该电路。 解 -- a full adder design library IEEE; use IEEE.std_logic_1164.all; entity ADDER is port (A,B,C_IN: in STD_LOGIC; SUM,C_OUT: out STD_LOGIC); end ADDER; architecture STRUCTRAL of ADDER is component XORG port (IN1,IN2: in STD_LOGIC; OUT1: out STD_LOGIC); end component; component ANDG port (IN1,IN2: in STD_LOGIC; OUT1: out STD_LOGIC); end component; component ORG port (IN1,IN2: in STD_LOGIC; OUT1: out STD_LOGIC); end component; signal X, Y, Z: STD_LOGIC; begin XOR1: XORG port map (IN1=> A, IN2=> B, OUT1=> X); XOR2: XORG port map (IN1 => X, IN2 => C_IN, OUT1 => SUM); AND1: ANDG port map (IN1 =>C_IN , IN2 => X, OUT1 => Y); AND2: ANDG port map (IN1 => A, IN2 => B, OUT1 => Z); OR1: ORG port map (IN1 => Y, IN2 => Z, OUT1 => C_OUT); end STRUCTRAL; 2-45 逻辑电路如图2-77(a)所示,写出G和F的逻辑表达式,若非门的延迟为3ns,其它门的延迟为6ns,根据图2-77(b)所示A的输入波形,画出G和F的波形,并对输出波形加以说明。 解 当输入信号B=C=1时, , 。由图2-78波形图可以看出:相对于输入信号A的波形,输出信号F的波形延时了12ns,而且还存在1型险象。 2-46 判断图2-79所示各电路是否存在险象。如果存在险象,说明险象类型,并通过修改逻辑设计消除险象。 解 对于图2-79(a), 。当 时, 。因此,该电路存在0型险象。在卡诺图上重新化简该函数,可得最简与或式为 ,该函数中没有反变量,故不存在险象,对应的两级与非门电路如图2-80所示。 对于图2-79(b),直接写出函数表达式为 检查表达式中同时具有原、反变量的变量: 当 时,等效电路如图2-81所示。 ,所以,该电路有B变量引起的1型险象。出现1型险象的波形图如图2-82所示。注意到,B3波形中的正脉冲没有影响到输出信号,输出信号中的1型险象是由B2和B4的信号冲突造成的。 当 时,等效电路如图2-83所示。 ,即D变量不会引起险象。D变量的变化不会引起险象的波形图如图2-84所示,D3波形中的1型险象并未出现在输出信号中。 我们也可以在卡诺图上看出是否存在险象,将图2-79(b)所示电路的输出函数表达式进一步变换为或与式 从函数的或与式可以恢复卡诺图的圈法,如图2-85中的实线圈所示。进一步检查是否存在相切的卡诺圈,显然,卡诺图上确实存在一处相切的卡诺圈,当自变量ABCD的取值由0000变化为0100或按相反的顺序变化时,将从一个卡诺圈跳到另一个卡诺圈,由此可以确定存在险象,由于函数值应该为0,出现的是正脉冲,所以出现的是1型险象。 修改电路设计,消除B变量变化引起的1型险象:在卡诺图上添加一个冗余的卡诺圈,覆盖相切的部分,如图2-85中虚线圈所示。修改后的函数表达式为 消除险象后的电路如图2-86所示。 2.3 自测题解答 自测题2 1.(10分)填空 (1)同一电路的正逻辑表达式与负逻辑表达式具有( )关系。 解 同一电路的正逻辑表达式与负逻辑表达式具有(互为对偶表达式)关系。 (2)多个 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 TTL逻辑门的输出端直接相连,结果是( );多个集电极或漏极开路逻辑门的输出端直接相连,结果是( );多个三态输出端直接相连,结果是( )。 解 多个标准TTL逻辑门的输出端直接相连,结果是(造成输出电平错误,甚至损坏器件);多个集电极或漏极开路逻辑门的输出端直接相连,结果是(实现线与逻辑);多个三态输出端直接相连,结果是(实现输出线路的分时共享)。 (3)在典型的TTL、CMOS和ECL逻辑门中,( )速度最快,( )功耗最低。 解 在典型的TTL、CMOS和ECL逻辑门中,(ECL)速度最快,(CMOS)功耗最低。 (4)4选1数据选择器的输出函数表达式为( )。 解 4选1数据选择器的输出函数表达式为( )。 (5)在PROM、PLA和PAL中,与阵列固定、或阵列可编程的器件是( );与阵列可编程、或阵列固定的器件是( );与、或阵列都可编程的器件是( )。 解 在PROM、PLA和PAL中,与阵列固定、或阵列可编程的器件是(PROM);与阵列可编程、或阵列固定的器件是(PAL);与、或阵列都可编程的器件是(PLA)。 (6)一个VHDL源程序可以分为5个组成部分,他们是( ),其中必不可少的两个部分是( )。 解 一个VHDL源程序可以分为5个组成部分,他们是(实体说明、结构体、库、程序包和配置),其中必不可少的两个部分是(实体说明和结构体)。 2.(5分)图2-87为三态非门构成的电路,试根据输入条件填写表2-22中的F栏。 解 图2-87中,任意一个三态非门使能端 时,三态门不工作,输出为高阻抗, 时,三态门工作。若两个三态门都不工作,输出F为高阻抗;若只有一个三态门工作,则F是该三态门输入信号的非;若两个三态门都工作,当输入相同时,F是输入的非,当输入相反时,电路不能正常工作。根据表2-22完成的真值表如表2-23所示。 3.(5分)分析图2-88所示电路,写出表达式,列出真值表,说明电路的逻辑功能。 解 函数表达式为 ,真值表如表2-24所示。由真值表可以看出,该电路是半比较器,用于比较A和B的大小,输出信号高电平有效,F1是 输出端,F2是 输出端,F3是 输出端。 4.(5分)分析图2-89所示电路,输入为余3码,说明该电路完成什么逻辑功能。 解 图2-89所示电路以4位全加器7483为核心,输入信号为A、B、C、D,输出信号为W、X、Y、Z。注意加数 ,当A=0时,加数 ;当A=1时,加数 。列出该电路的真值表,如表2-25所示。分析自变量取值和相应函数值的变化特点,若自变量表示的是余3码(有效取值为0011~1100),则函数相应的取值就是5421码。所以,该电路可以用作余3码→5421码的转换电路。 5.(5分)写出图2-90所示电路的输出函数表达式,列出真值表。 解 图2-90所示电路由3个MUX和4个异或门组成,输入变量为A、B、C、D,输出变量是Y。根据各MUX的输出函数表达式逐级写到输出端,有 函数表达式写到什么程度应根据题目要求来确定,若题目没有明确要求(如本题),则按照电路图直接写出表达式即可,不必进行进一步变换。这里为了方便列真值表,将表达式写成了最小项的简写形式。Y的真值表如表2-26所示。 6.(5分)只用2输入与非门和异或门实现函数: 解 经卡诺图化简,并圈1求出最简与或式为 由于题目要求只能用2输入与非门和异或门实现,需要对最简与或式做相应的变换。 由变换后的表达式可以看出,只用1个2输入与非门和1个异或门就可以实现函数F,电路如图2-91所示。 7.(10分)试用最少的与非门,设计一个组合电路,实现表2-27所示的逻辑功能。 解 首先画出该函数的卡诺图,如图2-92所示。填写函数值时,根据自变量AB的取值不同,按行填写比较方便,例如:当AB=10时,函数 ,即当C、D取值不同时,F=1。 在卡诺图中圈1,求出最简与或式 两级最简与非门电路如图2-93所示。 8.(10分)试用4位二进制数比较器7485实现3位8421BCD码的比较。 解 本题属于典型的7485级连扩展问题。设两个参加比较的3位8421BCD码分别为X=X3X2X1、Y=Y3Y2Y1。比较电路需要3片7485,如图2-94所示,用7485(1)实现个位BCD码X1和Y1的比较,由于个位是最低位,其级连输入端应置为010,三种比较结果输出信号送到十位比较器7485(2)的级连输入端;7485(2)实现十位BCD码X2和Y2的比较,比较结果送到百位比较器7485(3)的级连输入端;7485(3)实现百位BCD码X3和Y3的比较,其比较输出信号就是两个3位8421BCD码的最终比较结果。 9.(10分)用一片输出高电平有效的4-16译码器和必要的逻辑门实现多输出函数: 解 首先将函数写成最小项表达式和最大项表达式中项数较少的形式,然后,将表达式进一步变换为适合输出高电平有效的译码器的表达式形式。 电路如图2-95所示。 10.(5分)用三个继电器A、B、C控制两个指示灯R、G。R和G不能同时亮;当三个继电器都动作时R亮;当A不动作且B和C中至少有一个动作时G亮;如果A、B、C均不动作,则R和G均不亮;其他情况下R都亮。试根据以上要求列出反映此控制关系的真值表。 解 先定义变量:输入变量A、B、C,取值为1表示继电器动作;输出变量R、G,取值为1表示灯亮。反映输入、输出变量取值关系的真值表如表2-28所示。 11.(10分)使用3线-8线译码器74138和与非门实现一个1位二进制数全加器。 解 参见本章习题2-33关于1位全加器的变量定义和真值表,可以写出输出函数——和函数S和进位输出函数J的最小项表达式为 用74138实现逻辑函数时,138的使能端 ,编码输入端 ,则可以将全加器的和函数S和进位输出函数J的表达式写成 用两个4输入与非门连接相应的译码输出端,产生输出信号S和J,电路如图2-96所示。 12.(10分)将逻辑表达式 写成标准与或表达式 的形式,并使用八选一数据选择器74151实现该函数。 解 函数F的标准与或式为 。 用74151实现该逻辑函数时,应使74151的低电平有效片选端 ,地址输入为 ,根据函数F的最小项表达式中包含的最小项,74151的数据输入端为 。完成的电路如图2-97所示。 13.(10分)用适当容量的PROM实现下列多输出函数,要求画出与-或阵列图。 解 PROM有固定的与阵列,可以实现由地址端输入的变量的所有最小项;有可编程的或阵列,用于选择所需最小项,并求和实现输出函数。用PROM实现逻辑函数时,应将函数表达式写成最小项表达式形式。 用PROM实现F1~F4时,其与或阵列如图2-98所示。 图2-39 图2-38 图2-31 图2-30 � EMBED Equation.DSMT4 ��� 图2-29 图2-28 图2-27 图2-21 � EMBED Equation.DSMT4 ��� 图2-20 图2-19 图2-18 图2-17 图2-16 (a) (b) 图2-10 图2-40 图2-41 图2-42 图2-43 (a) (b) 图2-1 表2-28 ABC� RG� � 000� 00� � 001� 01� � 010� 01� � 011� 01� � 100� 10� � 101� 10� � 110� 10� � 111� 10� � 图2-98 图2-95 CD AB� 00� 01� 11� 10� � 00� 0� 1� 1� 1� � 01� 1� 0� 0� 0� � 11� 1� 0� 1� 0� � 10� 0� 1� 0� 1� � 表2-26 ABCD� Y� ABCD� Y� � 0000� 1� 1000� 0� � 0001� 1� 1001� 1� � 0010� 1� 1010� 0� � 0011� 1� 1011� 1� � 0100� 0� 1100� 1� � 0101� 0� 1101� 0� � 0110� 1� 1110� 0� � 0111� 0� 1111� 1� � 表2-25 ABCD� WXYZ� ABCD� WXYZ� � 0000� 1101� 1000� 1000� � 0001� 1110� 1001� 1001� � 0010� 1111� 1010� 1010� � 0011� 0000� 1011� 1011� � 0100� 0001� 1100� 1100� � 0101� 0010� 1101� 1101� � 0110� 0011� 1110� 1110� � 0111� 0100� 1111� 1111� � 表2-24 AB� F1� F2� F3� � 00� 0� 1� 0� � 01� 1� 0� 0� � 10� 0� 0� 1� � 11� 0� 1� 0� � 图2-76 图2-75 “1” J S C B 图2-73 表2-21 十进制数� 输入� 输出� � � ABCD� abcdefg� � 0� 0000� 1111110� � 1� 0001� 0110000� � 2� 0010� 1101101� � 3� 0011� 1111001� � 4� 0100� 0110011� � 5� 0101� 1011011� � 6� 0110� 0011111� � 7� 0111� 1110000� � 8� 1000� 1111111� � 9� 1001� 1110011� � A 表2-20 Q2Q1Q0� WXYZ� 十进制数� � 0 0 0� 0011� 3� � 0 0 1� 0001� 1� � 0 1 0� 0100� 4� � 0 1 1� 0001� 1� � 1 0 0� 0101� 5� � 1 0 1� 1001� 9� � 1 1 0� 0010� 2� � 1 1 1� 0110� 6� � CDE AB� 000� 001� 011� 010� 110� 111� 101� 100� � 00� � Φ� 1� � � Φ� 1� Φ� � 01� Φ� 1� Φ� � � � Φ� 1� � 11� 1� Φ� 1� Φ� � � � � � 10� � � Φ� 1� Φ� Φ� � � � 图2-68 图2-69 D4=� EMBED Equation.DSMT4 ��� D5=� EMBED Equation.DSMT4 ��� D7=0 D6=0 D2=A D3=1 D1=B D0=B CD AB� 00� 01� 11� 10� � 00� � � 1� � � 01� 1� 1� � � � 11� � � 1� 1� � 10� 1� 1� � 1� � D2=D D3=� EMBED Equation.DSMT4 ��� D1=� EMBED Equation.DSMT4 ��� D0=� EMBED Equation.DSMT4 ��� 表2-19 ABC� J� S� � 0 0 0� 0� 0� � 0 0 1� 0� 1� � 0 1 0� 0� 1� � 0 1 1� 1� 0� � 1 0 0� 0� 1� � 1 0 1� 1� 0� � 1 1 0� 1� 0� � 1 1 1� 1� 1� � D7=1 D6=0 D5=0 D4=� EMBED Equation.DSMT4 ��� (a) (b) 图2-5 CMOS逻辑门内部结构图 D3=0 D2=0 D1=0 D0=0 BCD A� 000� 001� 010� 011� 100� 101� 110� 111� � 0� Φ� 0� 0� Φ� 1� Φ� Φ� 1� � 1� 0� 0� 0� Φ� 0� Φ� 0� Φ� � D2=0 D3=0 D1=1 D0=0 CD AB� 00� 01� 11� 10� � 00� Φ� 0� Φ� 0� � 01� 1� Φ� 1� Φ� � 11� 0� Φ� Φ� 0� � 10� 0� 0� Φ� 0� � CDE AB� 000� 001� 011� 010� 110� 111� 101� 100� � 00� � Φ� 1� � � Φ� 1� Φ� � 01� Φ� 1� Φ� � � � Φ� 1� � 11� 1� Φ� 1� Φ� � � � � � 10� � � Φ� 1� Φ� Φ� � � � D7=0 D6=0 D5=0 图2-74 图2-71 图2-72 图2-51 图2-65 表2-16 供血血型� 受血血型� � A� A,AB� � B� B,AB� � AB� AB� � O� A,B,AB,O� � 图2-35 表2-9 N10� 二进制码 B2B1B0� 循环码 G2G1G0� � 0� 0 0 0� � � 1� 0 0 1� � � 2� 0 1 0� � � 3� 0 1 1� � � 4� 1 0 0� � � 5� 1 0 1� � � 6� 1 1 0� � � 7� 1 1 1� � � 图2-24 图2-22 图2-13 图2-14 图2-11 图2-12 (a) (b) (c) 图2-9 (a) (b) (c) 图2-7 图2-49 图2-48 图2-46 图2-44 图2-45 D4=0 图2-50 D3=1 D2=1 D1=1 D0=1 BCD A� 000� 001� 010� 011� 100� 101� 110� 111� � 0� 1� � EMBED Equation.DSMT4 ���� � EMBED Equation.DSMT4 ���� 1� 0� � EMBED Equation.DSMT4 ���� 0� � EMBED Equation.DSMT4 ���� � 1� 1� 1� 1� 1� 0� � EMBED Equation.DSMT4 ���� � EMBED Equation.DSMT4 ���� � EMBED Equation.DSMT4 ���� � D2=1 D3=0 D1=0 D0=1 CD AB� 00� 01� 11� 10� � 00� 1� � EMBED Equation.DSMT4 ���� 1� � EMBED Equation.DSMT4 ���� � 01� 0� � EMBED Equation.DSMT4 ���� � EMBED Equation.DSMT4 ���� 0� � 11� 0� � EMBED Equation.DSMT4 ���� � EMBED Equation.DSMT4 ���� � EMBED Equation.DSMT4 ���� � 10� 1� 1� 1� 1� � 表2-18 A1A0B1B0� P3P2P1P0� A1A0B1B0� P3P2P1P0� � 0000� 0000� 1000� 0000� � 0001� 0000� 1001� 0010� � 0010� 0000� 1010� 0100� � 0011� 0000� 1011� 0110� � 0100� 0000� 1100� 0000� � 0101� 0001� 1101� 0011� � 0110� 0010� 1110� 0110� � 0111� 0011� 1111� 1001� � 图2-47 表2-17 WXYZ� F� 供→受� WXYZ� F� 供→受� � 0000� 0� O→O� 1000� 1� B→O� � 0001� 0� O→A� 1001� 1� B→A� � 0010� 0� O→B� 1010� 0� B→B� � 0011� 0� O→AB� 1011� 0� B→AB� � 0100� 1� A→O� 1100� 1� AB→O� � 0101� 0� A→A� 1101� 1� AB→A� � 0110� 1� A→B� 1110� 1� AB→B� � 0111� 0� A→AB� 1111� 0� AB→AB� � 表2-15 ABCD� F� ABCD� F� � 0000� 0� 1000� 0� � 0001� 0� 1001� 1� � 0010� 0� 1010� 0� � 0011� 1� 1011� 1� � 0100� 0� 1100� 1� � 0101� 0� 1101� 1� � 0110� 0� 1110� 1� � 0111� 1� 1111� 1� � 表2-14 ABC� F� � 0 0 0� 0� � 0 0 1� 1� � 0 1 0� 1� � 0 1 1� 0� � 1 0 0� 1� � 1 0 1� 0� � 1 1 0� 0� � 1 1 1� 1� � 表2-13 ABCD� F� ABCD� F� � 0000� 0� 1000� 0� � 0001� 0� 1001� 1� � 0010� 1� 1010� 0� � 0011� 1� 1011� 1� � 0100� 1� 1100� 1� � 0101� 1� 1101� 1� � 0110� 1� 1110� 1� � 0111� 1� 1111� 1� � 表2-11 B2B1B0� Y i� G2G1G0� I k� � 0 0 0� Y0� 0 0 0� I0� � 0 0 1� Y1� 0 0 1� I1� � 0 1 0� Y2� 0 1 1� I3� � 0 1 1� Y3� 0 1 0� I2� � 1 0 0� Y4� 1 1 0� I6� � 1 0 1� Y5� 1 1 1� I7� � 1 1 0� Y6� 1 0 1� I5� � 1 1 1� Y7� 1 0 0� I4� � 图2-36 表2-12 ABC� F1F2F3� � 0 0 0� 0 0 0� � 0 0 1� 0 0 1� � 0 1 0� 0 1 0� � 0 1 1� 0 1 0� � 1 0 0� 1 0 0� � 1 0 1� 1 0 0� � 1 1 0� 1 0 0� � 1 1 1� 1 0 0� � 表2-10 B2B1B0� G2G1G0� � 0 0 0� 0 0 0� � 0 0 1� 0 0 1� � 0 1 0� 0 1 1� � 0 1 1� 0 1 0� � 1 0 0� 1 1 0� � 1 0 1� 1 1 1� � 1 1 0� 1 0 1� � 1 1 1� 1 0 0� � 图2-34 图2-33 表2-8 和 N10� 7483(1)输出 C4S3S2S1S0� 十位输出 WXYZ� 个位输出 ABCD� 和 N10� 7483(1)输出 C4S3S2S1S0� 十位输出 WXYZ� 个位输出 ABCD� � 0� 0 0 1 1 0� 0 0 1 1� 0 0 1 1� 10� 1 0 0 0 0� 0 1 0 0� 0 0 1 1� � 1� 0 0 1 1 1� � 0 1 0 0� 11� 1 0 0 0 1� � 0 1 0 0� � 2� 0 1 0 0 0� � 0 1 0 1� 12� 1 0 0 1 0� � 0 1 0 1� � 3� 0 1 0 0 1� � 0 1 1 0� 13� 1 0 0 1 1� � 0 1 1 0� � 4� 0 1 0 1 0� � 0 1 1 1� 14� 1 0 1 0 0� � 0 1 1 1� � 5� 0 1 0 1 1� � 1 0 0 0� 15� 1 0 1 0 1� � 1 0 0 0� � 6� 0 1 1 0 0� � 1 0 0 1� 16� 1 0 1 1 0� � 1 0 0 1� � 7� 0 1 1 0 1� � 1 0 1 0� 17� 1 0 1 1 1� � 1 0 1 0� � 8� 0 1 1 1 0� � 1 0 1 1� 18� 1 1 0 0 0� � 1 0 1 1� � 9� 0 1 1 1 1� � 1 1 0 0� � � � � � � EMBED PBrush ��� 图2-37 图2-32 表2-6 ABCD� F� ABCD� F� � 0000� 0� 1000� 1� � 0001� 1� 1001� 1� � 0010� 1� 1010� 1� � 0011� 1� 1011� 0� � 0100� 0� 1100� 1� � 0101� 1� 1101� 1� � 0110� 0� 1110� 0� � 0111� 0� 1111� 0� � 图2-25 图2-26 图2-23 � EMBED Equation.DSMT4 ��� CD AB� 00� 01� 11� 10� � 00� � � � � � 01� 1� � 1� 1� � 11� 1� 1� 1� 1� � 10� � � � � � 表2-5 S3S2S1S0� F� S3S2S1S0� F� � 0000� A� 1000� � EMBED Equation.DSMT4 ���� � 0001� � EMBED Equation.DSMT4 ���� 1001� � EMBED Equation.DSMT4 ���� � 0010� � EMBED Equation.DSMT4 ���� 1010� � EMBED Equation.DSMT4 ���� � 0011� 1� 1011� � EMBED Equation.DSMT4 ���� � 0100� AB� 1100� 0� � 0101� B� 1101� � EMBED Equation.DSMT4 ���� � 0110� � EMBED Equation.DSMT4 ���� 1110� � EMBED Equation.DSMT4 ���� � 0111� � EMBED Equation.DSMT4 ���� 1111� � EMBED Equation.DSMT4 ���� � 图2-15 表2-4 真值表 EAB� F� � 000� 0� � 001� 1� � 010� 0� � 011� 0� � 100� 1� � 101� 0� � 110� 0� � 111� 0� � CD AB� 00� 01� 11� 10� � 00� 0� 0� � � � 01� 0� � � 0� � 11� 0� � � 0� � 10� � 0� � � � (a) (b) 图2-77 (a) (b) 图2-79 表2-22 EN1 D1 EN2 D2� F� � 0 0 1 1� � � 0 1 1 0� � � 1 0 0 0� � � 1 0 0 1� � � 1 1 0 1� � � 1 1 1 0� � � 图2-87 图2-88 图2-89 表2-27 A B� F� � 0 0� � EMBED Equation.DSMT4 ���� � 0 1� � EMBED Equation.DSMT4 ���� � 1 0� � EMBED Equation.DSMT4 ���� � 1 1� � EMBED Equation.DSMT4 ���� � 图2-90 F2错 0 A B 0 0 0 � EMBED Equation.3 ��� 图2-97 图2-96 图2-94 图2-93 图2-92 图2-91 表2-23 EN1 D1 EN2 D2� F� � 0 0 1 1� 1� � 0 1 1 0� 0� � 1 0 0 0� 1� � 1 0 0 1� 0� � 1 1 0 1� 0� � 1 1 1 0� Z� � 图2-86 图2-85 图2-84 图2-83 图2-82 图2-81 图2-80 图2-78 图2-70 图2-67 图2-66 图2-64 图2-63 图2-62 图2-61 图2-60 图2-59 图2-56 图2-55 图2-54 图2-53 图2-58 图2-57 图2-52 � EMBED Equation.DSMT4 ��� 图2-8 PAGE 46 _1215059110.unknown _1215249262.unknown _1216636311.unknown _1216643561.unknown _1216672485.unknown _1216674665.unknown _1216674711.unknown _1216674746.unknown _1223204249.unknown _1345353280.unknown _1216674761.unknown _1216674730.unknown _1216674691.unknown _1216673593.unknown _1216674143.unknown _1216672570.unknown _1216645726.unknown _1216646550.unknown _1216643811.unknown _1216638975.unknown _1216640167.unknown _1216643325.unknown _1216640544.unknown _1216639097.unknown _1216636495.unknown _1216636513.unknown _1216636432.unknown _1215291077.unknown _1215378303.unknown _1216635065.unknown _1216635081.unknown _1215416480.unknown _1215420314.unknown _1215426409.unknown _1215441436.unknown _1216635019.unknown _1215444313.unknown _1215438407.unknown _1215423928.unknown _1215425818.unknown _1215420373.unknown _1215419469.unknown _1215420243.unknown _1215419366.unknown _1215419399.unknown _1215419343.unknown _1215382145.unknown _1215382929.unknown _1215378419.unknown _1215349110.unknown _1215371589.unknown _1215372266.unknown _1215372291.unknown _1215372204.unknown _1215350284.unknown _1215371545.unknown _1215371451.unknown _1215349353.unknown _1215348785.unknown _1215348961.unknown _1215349032.unknown _1215348897.unknown _1215343877.unknown _1215348764.unknown _1215291087.unknown _1215273884.unknown _1215285657.unknown _1215288561.unknown _1215289272.unknown _1215289728.unknown _1215289883.unknown _1215289610.unknown _1215288693.unknown _1215286270.unknown _1215285334.unknown _1215285539.unknown _1215274474.unknown _1215273936.unknown _1215264694.unknown _1215268417.unknown _1215268610.unknown _1215273714.unknown _1215273648.unknown _1215267991.unknown _1215265820.unknown _1215249690.unknown _1215263976.unknown _1215263984.unknown _1215256811.unknown _1215249464.unknown _1215174334.unknown _1215209258.unknown _1215212818.unknown _1215232920.unknown _1215248841.unknown _1215249048.unknown _1215234543.unknown _1215232799.unknown _1215232906.unknown _1215232659.unknown _1215232752.unknown _1215212061.unknown _1215212260.unknown _1215212387.unknown _1215209751.unknown _1215175934.unknown _1215207221.unknown _1215208201.unknown _1215182292.unknown _1215175389.unknown _1215175485.unknown _1215175542.unknown _1215175558.unknown _1215175441.unknown _1215174385.unknown _1215121973.unknown _1215173979.unknown _1215174058.unknown _1215174081.unknown _1215122807.unknown _1215173903.unknown _1215173931.unknown _1215122007.unknown _1215078148.unknown _1215085882.unknown _1215092951.unknown _1215085839.unknown _1215075955.unknown _1215077594.unknown _1215072373.unknown _1215072675.unknown _1160470974.unknown _1215001724.unknown _1215025955.unknown _1215055819.unknown _1215057966.unknown _1215059015.unknown _1215055873.unknown _1215030145.unknown _1215030290.unknown _1215030436.unknown _1215030490.unknown _1215030598.unknown _1215030327.unknown _1215030207.unknown _1215029606.unknown _1215030074.unknown _1215030073.unknown _1215029587.unknown _1215021966.unknown _1215022081.unknown _1215025948.unknown _1215025811.unknown _1215022023.unknown _1215003137.unknown _1215003228.unknown _1215001799.unknown _1215000191.unknown _1215001156.unknown _1215001368.unknown _1215001131.unknown _1160472861.unknown _1214999278.unknown _1215000053.unknown _1160474084.unknown _1214997621.unknown _1160474095.unknown _1160472870.unknown _1160472173.unknown _1160472851.unknown _1160470987.unknown _1154445682.unknown _1160028251.unknown _1160123013.unknown _1160332438.unknown _1160332529.unknown _1160379075.unknown _1160164786.unknown _1160212111.unknown _1160212148.unknown _1160204614.unknown _1160164753.unknown _1160061547.unknown _1160061607.unknown _1160028789.unknown _1160025694.unknown _1160026858.unknown _1160027162.unknown _1160026495.unknown _1154446944.unknown _1159940539.unknown _1159949460.unknown _1154621571.unknown _1154445747.unknown _1154434378.unknown _1154434621.unknown _1154439520.unknown _1154445642.unknown _1154439463.unknown _1154439485.unknown _1154434465.unknown _1154434494.unknown _1154434422.unknown _1154421151.unknown _1154430276.unknown _1154331797.unknown
本文档为【数字电路第2章习题解答】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_384498
暂无简介~
格式:doc
大小:3MB
软件:Word
页数:49
分类:
上传时间:2018-09-09
浏览量:391