首页 基于FPGA的DDS设计

基于FPGA的DDS设计

举报
开通vip

基于FPGA的DDS设计基于FPGA的DDS设计 摘 要:本文首先论述了直接数字频率合成(DDS)技术的发展,并将直接数字频率合成技术与传统的频率合成技术进行了比较。然后深入研究了DDS的工作原理和基本结构,阐述了基于可编程逻辑器件(FPGA)实现DDS技术的意义。重点介绍了DDS技术在FPGA中的实现方法。在系统设计的过程中,本文以Altera公司的FPGA芯片EP2C5T144C8为核心,利用开发工具Quartus II软件,实现DDS设计。 关键词:可编程逻辑器件 直接数字频率合成 函数信号发生器 中图分类号:G64 文献标识...

基于FPGA的DDS设计
基于FPGA的DDS设计 摘 要:本文首先论述了直接数字频率合成(DDS)技术的发展,并将直接数字频率合成技术与传统的频率合成技术进行了比较。然后深入研究了DDS的工作原理和基本结构,阐述了基于可编程逻辑器件(FPGA)实现DDS技术的意义。重点介绍了DDS技术在FPGA中的实现方法。在系统设计的过程中,本文以Altera公司的FPGA芯片EP2C5T144C8为核心,利用开发工具Quartus II软件,实现DDS设计。 关键词:可编程逻辑器件 直接数字频率合成 函数信号发生器 中图分类号:G64 文献标识码:A 文章编号:1672-3791(2014)01(c)-0027-02 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。能够产生多种波形,如三角波、锯齿波、方波、正弦波。正弦波的电路被称为函数信号发生器。随着可编程逻辑器件(FPGA)的不断发展,直接数字频率合成(DDS)技术应用的愈加成熟,利用DDS原理在FPGA平台上开发高性能的多种波形信号发生器与基于DDS芯片的信号发生器相比,成本更低,操作更加灵活,而且还能根据要求在线更新配置,系统开发趋于软件化。 1 总体设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 DDS的基本原理是利用采样定量,通过查表法产生波形。DDS的结构有很多种,其基本的电路原理可用图1来表示。 2 内部模块设计 2.1 相位累加器模块 相位累加主要是利用频率控制字和相位控制字来累加出寻址地址,N=N+M;address=N+P;其中N为累加寄存器,初期为0;M为频率控制字;address为寻址地址。模块端口有:时钟输入端口(接顶层模块20 MHz时钟),复位输入端口(接顶层模块复位端),10位频率控制字输入端口和10位相位控制输入端口(分别接顶层模块的10位频率控制字输入端口和10位相位控制输入端口),地址输出端口(将地址通过顶层模块传送给rom模块,10位)(见图2)。 累加器VHDL程序: 2.2 波形ROM模块 本模块的功能是通过传送过来的地址,查找地址所对应的数据,并将数据输出。生成的模块端口:地址输入端口(接相位累加模块传送过来的地址,10位),时钟输入端口(接分频后的时钟),数据输出端口(12位)。生成的mif文件如下: 2.3 D/A转换电路模块 由DDS产生的数字信号输出后,必须经过D/A转换,变成模拟量后才能使用示波器来测量我们所产生的波形。该模块主要由两片DAC7512芯片组成,一片DAC7512芯片用于接收FPGA传来的波形数据,将其转化为模拟量输出;另一片DAC7512芯片接收来自FPGA的波形幅度数据,用以产生相应的幅度电压,将其作为基准电压输入到上一片芯片的基准电压输入端口,实现输出波形的幅度可调。 3 仿真结果及分析 3.1 三角波(见图4) 分析:通过选择信号设为001产生三角波的数据。三角波从0开始逐渐上升到最大值4095,再从最大值降低到0,依次循环此规律,符合实际波形的要求。 3.2 方波(见图5) 分析:通过选择信号设为010产生方波的数据。形成0与4095的交替,即就是高低电平 的交替,跟实际波形相符。 3.3 锯齿波(见图6) 分析:通过选择信号设为011产生锯齿波的数据。锯齿波从0直接跳到最大值4095,然后从最大值逐渐变成0,依次循环此规律,符合实际电路的要求。 3.4 梯形波(见图7) 分析:通过选择信号设为100产生梯形波的数据。梯形波从0逐渐上升到最大值4095并保持一段时间,然后从最大值逐渐下降到0并保持一段时间,依次循环此规律,符合实际电路中产生的梯形波的要求。 3.5 正弦波(见图8) 分析:通过选择信号设为101产生正弦波的数据。从2047上升到4046然后下降到52(由于只抽取了其中的几个数据,所以没有下降到0,这个代表着随机性),并且依次循环此规律,符合实际波形的要求。 4 结语 波形发生器是信号源的一种,主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。多功能波形发生器采用FPGA器件作为核心控制部件,精度高稳定性好,得到波形平滑,尤其是由于FPGA的高速度,能实现较高频率的波形。目前我国已经开始研制波形发生器,并取得了可喜的成果。但总的来说,我国波形发生器还没有形成真正的产业。就目前国内的成熟产品来看,多为一些PC仪器插卡,独立的仪器等都很少,并且我国现在的波形发生器的种类和性能都与国外同类产品存在较大的差距,因此,加紧对这类产品的研制起着至关重要的作用。 参考文献 [1] 王美妮.基于FPGA的DDS的研究设计与实现[D].大连海事大学,2006. [2] 刘洪利.基于DDS的可编程的波形发生器[J].国外电子测量技术,2008(8):56-60. [3] 王忠林.基于DDS技术的多功能信号发生器研究[D].山东大学,2007. [4] 褚振勇.FPGA设计及应用[M].3版.西安:西安电子科技大学出版社,2012:361-366. [5] 郑亚民,董晓舟.可编程逻辑器件开发软件Quartus II[M].北京:国防工业出版社,2006:162-165. [6] 王传新.FPGA设计基础[M].北京:高等教育出版社,2007:58-60. [7] 张鹏南.基于Quartus II的VHDL数字系统设计入门与应用实例[M].北京:电子工业出版社,2012:210-214. [8] 高士友.基于FPGA的DDS信号发生器的设计[J].现代电子技术,2009(16):35-40.
本文档为【基于FPGA的DDS设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_597436
暂无简介~
格式:doc
大小:15KB
软件:Word
页数:0
分类:生活休闲
上传时间:2017-09-19
浏览量:13