首页 数字逻辑期末复习题资料

数字逻辑期末复习题资料

举报
开通vip

数字逻辑期末复习题资料一、选择题(每小题2分,共20分)1.八进制(273)8中,它的第三位数2的位权为___B___。A.(128)10B.(64)10C.(256)10D.(8)102.已知逻辑表达式,与它功能相等的函数表达式_____B____。A.B.C.D.3.数字系统中,采用____C____可以将减法运算转化为加法运算。A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。A.与关系B.异或关系C.同或关系D.无法判断5.连续异或1985个1的结果是____B_____。A...

数字逻辑期末复习题资料
一、选择题(每小题2分,共20分)1.八进制(273)8中,它的第三位数2的位权为___B___。A.(128)10B.(64)10C.(256)10D.(8)102.已知逻辑表达式,与它功能相等的函数表达式_____B____。A.B.C.D.3.数字系统中,采用____C____可以将减法运算转化为加法运算。A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。A.与关系B.异或关系C.同或关系D.无法判断5.连续异或1985个1的结果是____B_____。A.0    B.1C.不确定  D.逻辑概念错误6.与逻辑函数功能相等的表达式为___C_____。A.B.C.D.7.下列所给三态门中,能实现C=0时,F=;C=1时,F为高阻态的逻辑功能的是____A______。8.如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。A.500KHzB.200KHzC.100KHzD.50KHz9.下列器件中,属于时序部件的是_____A_____。A.计数器B.译码器C.加法器D.多路选择器10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。A.0100100B.1100011C.1011011D.0011011 得分 评卷人 二、填空题(每小题2分,共20分)电路的电源是__5__V,高电平1对应的电压范围是。个输入端的二进制译码器,共有_______个输出端。对于每一组输入代码,有____1____个输出端是有效电平。13.给36个字符编码,至少需要____6______位二进制数。14.存储12位二进制信息需要___12____个触发器。15.按逻辑功能分类,触发器可分为__RS___、__D__、__JK__、_T_等四种类型。16.对于D触发器,若现态Qn=0,要使次态Qn+1=0,则输入D=__0_____。17.请写出描述触发器逻辑功能的几种方式___特性表、特性方程、状态图、波形图_________。18.多个集电极开路门(OC门)的输出端可以_____线与_______。触发器的特性方程是________,当T=1时,特性方程为________,这时触发器可以用来作___2分频器_____。20.构造一个十进制的异步加法计数器,需要多少个__4____触发器。计数器的进位Cy的频率与计数器时钟脉冲CP的频率之间的关系是____1﹕10_________。 得分 评卷人 三、分析题(共40分)21.(本题满分6分)用卡诺图化简下列逻辑函数解:画出逻辑函数F的卡诺图。得到 CDAB 00 01 11 10 00 1 1 1 01 11 1 1 1 1 10 1 1 122.(本题满分8分)电路如图所示,D触发器是正边沿触发器,图中给出了时钟CP及输入K的波形。(1)试写出电路次态输出逻辑表达式。(2)画出的波形。解:23.(本题满分10分)分析图示逻辑电路,求出F的逻辑函数表达式,化简后用最少的与非门实现之,并画出逻辑电路图。解:24.(本题满分16分)今有A、B、C三人可以进入某秘密 档案 肢体残疾康复训练教师个人成长档案教师师德档案表人事档案装订标准员工三级安全教育档案 室,但条件是A、B、C三人在场或有两人在场,但其中一人必须是A,否则报警系统就发出警报信号。试:(1)列出真值表;(2)写出逻辑表达式并化简;(3)画出逻辑图。解:设变量A、B、C表示三个人,逻辑1表示某人在场,0表示不在场。F表示警报信号,F=1表示报警,F=0表示不报警。根据题意义,列出真值表 ABC F 000001010011100101110111 01111000由出真值表写出逻辑函数表达式,并化简画出逻辑电路图 得分 评卷人 四、综合应用题(每小题10分,共20分)25.3-8译码器74LS138逻辑符号如图所示,S1、、为使能控制端。试用两片74LS138构成一个4-16译码器。 要求 对教师党员的评价套管和固井爆破片与爆破装置仓库管理基本要求三甲医院都需要复审吗 画出连接图说明设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 。解:26.下图是由三个D触发器构成的寄存器,试问它是完成什么功能的寄存器设它初始状态Q2Q1Q0=110,在加入1个CP脉冲后,Q2Q1Q0等于多少此后再加入一个CP脉冲后,Q2Q1Q0等于多少解:时钟方程激励方程,,状态方程,,状态表 110101011 101011110画出状态图1、选择题1.一位十六进制数可以用C位二进制数来表示。A.1B.2C.4D.162.十进制数25用8421BCD码表示为B。1010101C.1001013.以下表达式中符合逻辑运算法则的是D。·C=C2+1=10C.0<1+1=14.当逻辑函数有n个变量时,共有D个变量取值组合A.nB.2nC.n2D.2n5.A+BC=C。A.A+B+CC.(A+B)(A+C)+C6.在何种输入情况下,“与非”运算的结果是逻辑0。DA.全部输入是0B.任一输入是0C.仅一输入是0D.全部输入是17.以下电路中可以实现“线与”功能的有C。A.与非门B.三态输出门C.集电极开路门D.CMOS与非门8.以下电路中常用于总线应用的有A。门门C.漏极开路门与非门9.若在编码器中有50个编码对象,则要求输出二进制代码位数为B位。.6C10.一个16选一的数据选择器,其地址输入(选择控制输入)端有C个。.2C11.四选一数据选择器的数据输出Y与数据输入Xi和地址码Ai之间的逻辑表达式为Y=A。A.B.C.D.12.一个8选一数据选择器的数据输入端有E个。.2C13.在下列逻辑电路中,不是组合逻辑电路的有D。A.译码器B.编码器C.全加器D.寄存器14.八路数据分配器,其地址输入端有C个。.2C15.用四选一数据选择器实现函数Y=,应使A。=D2=0,D1=D3=1=D2=1,D1=D3=0=D1=0,D2=D3=1=D1=1,D2=D3=0个触发器可以构成能寄存B位二进制数码的寄存器。+117.在下列触发器中,有约束条件的是C。A.主从JKF/FB.主从DF/FC.同步RSF/F(时钟脉冲)D.边沿DF/F18.一个触发器可记录一位二进制代码,它有C个稳态。.1C19.存储8位二进制信息要D个触发器。.3C20.对于D触发器,欲使Qn+1=Qn,应使输入D=C。.1CD.21.对于JK触发器,若J=K,则可完成C触发器的逻辑功能。ˊ22.欲使D触发器按Qn+1=n工作,应使输入D=D。.1CD.23.下列触发器中,没有约束条件的是BD。A.基本RS触发器B.主从RS触发器C.同步RS触发器D.边沿D触发器24.为实现将JK触发器转换为D触发器,应使A。=D,K=B.K=D,J==K=D=K=25.边沿式D触发器是一种C稳态电路。A.无B.单C.双D.多26.把一个五进制计数器与一个四进制计数器串联可得到D进制计数器。.5C27.下列逻辑电路中为时序逻辑电路的是C。A.变量译码器B.加法器C.数码寄存器D.数据选择器28.N个触发器可以构成计数器最大计数长度(进制数)为D29.N个触发器可以构成能寄存B位二进制数码的寄存器。+130.同步时序电路和异步时序电路比较,其差异在于后者B。A.没有触发器B.没有统一的时钟脉冲控制C.没有稳定状态D.输出只与内部状态有关31.一位8421BCD码计数器至少需要B个触发器。.4C32.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用B级触发器。.3C33.8位移位寄存器,串行输入时经D个脉冲后,8位数码全部移入寄存器中。.2C34.用二进制异步计数器从0做加法,计到十进制数178,则最少需要D个触发器。.6C2、判断题(正确打√,错误的打×)1.方波的占空比为。(√)2.8421码1001比0001大。(×)3.数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。(√)4.八进制数(18)8比十进制数(18)10小。(×)5.当传送十进制数5时,在8421奇校验码的校验位上值应为1。(√)6.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。(√)7.占空比的公式为:q=tw/T,则周期T越大占空比q越小。(√)8.十进制数(9)10比十六进制数(9)16小。(×)9.逻辑变量的取值,1比0大。(×)。10.异或函数与同或函数在逻辑上互为反函数。(√)。11.若两个函数具有相同的真值表,则两个逻辑函数必然相等。(√)。12.若两个函数具有不同的真值表,则两个逻辑函数必然不相等。(√)13.若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。(×)14.逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。(√)15.逻辑函数Y=A+B+C+B已是最简与或表达式。(×)10.对逻辑函数Y=A+B+C+B利用代入规则,令A=BC代入,得Y=BC+B+C+B=C+B成立。(×)16.当TTL与非门的输入端悬空时相当于输入为逻辑1。(√)17.普通的逻辑门电路的输出端不可以并联在一起,否则可能会损坏器件。(V)18.三态门的三种状态分别为:高电平、低电平、不高不低的电压。(×)19.一般TTL门电路的输出端可以直接相连,实现线与。(×)20.TTLOC门(集电极开路门)的输出端可以直接相连,实现线与。(√)21.共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。(×)22.数据选择器和数据分配器的功能正好相反,互为逆过程。(√)23.用数据选择器可实现时序逻辑电路。(×)触发器的特性方程为Qn+1=D,与Qn无关,所以它没有记忆功能。(×)触发器的约束条件RS=0表示不允许出现R=S=1的输入。(√)26.同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。(√)27.若要实现一个可暂停的一位二进制计数器,控制信号A=0计数,A=1保持,可选用T触发器,且令T=A。(×)28.同步时序电路由组合电路和存储器两部分组成。(√)27.组合电路不含有记忆功能的器件。(√)28.时序电路不含有记忆功能的器件。(×)29.同步时序电路具有统一的时钟CP控制。(√)30.异步时序电路的各级触发器类型不同。(×)31.计数器的模是指构成计数器的触发器的个数。(×)32.计数器的模是指对输入的计数脉冲的个数。(√)3、填空题1.数字信号的特点是在时间上和幅度上都是断续变化的,其高电平和低电平常用1和0来表示。8.逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常用的几种导出的逻辑运算为与非、与或、与或非、异或、同或。9.逻辑函数的常用表示方法有真值表、表达式、逻辑图、卡诺图。10.逻辑代数中与普通代数相似的定律有交换律、结合律、分配律。摩根定律又称为反演律。11.逻辑代数的三个重要规则是代入规则、反演规则、对偶规则。12.逻辑函数F=+B+D的反函数=AB(C+)。13.逻辑函数F=A(B+C)·1的对偶函数是A+BC+0。14.已知函数的对偶式为+,则它的原函数为。15.集电极开路门的英文缩写为OC门,工作时必须外加电源和电阻。16.OC门称为集电极开路门,多个OC门输出端并联到一起可实现线与功能。17.触发器有2个稳态,存储8位二进制信息要3个触发器。18.一个基本RS触发器在正常工作时,它的约束条件是+=1,则它不允许输入=0且=0的信号。19.触发器有两个互补的输出端Q、,定义触发器的1状态为Q=1=0,0状态为Q=0=1,可见触发器的状态指的是Q端的状态。20.一个基本RS触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是RS=0。21.在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。22.半导体数码显示器的内部接法有两种形式:共阴极接法和共阳极接法。23.对于共阳接法的发光二极管数码显示器,应采用低电平驱动的七段显示译码器。24.数字电路按照是否有记忆功能通常可分为两类:组合逻辑电路、时序逻辑电路(有记忆动能)。25.由四位移位寄存器构成的顺序脉冲发生器可产生4个顺序脉冲。26.时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时序电路和异步时序电路。4、综合题1.用公式法和用卡诺图化简逻辑函数(第一章例题及作业,重点:1-19)2.组合逻辑电路的分析和设计(第三章第二节写真值表、卡诺图、逻辑图)3.用译码器(74LS138)或数据选择器(74LS151)实现逻辑函数(3.4.1及,例题3-8、3-11,习题3-16、3-17)4.在给定的触发器的逻辑电路图和输入信号波形,画出触发器输出波形(主要是:D触发器和JK触发器、习题:4-7、4-8)5.时序电路的分析(第五章第二节,例题5-1、5-3,习题5-4、5-6)6.同步式集成计数器74LS161实现任意进制的计数器(用复位法或置位法)(5.4.5节,例题:5-6、5-7,注意会改其他进制数计数器)一、选择题1.以下代码中为无权码的为。A.8421BCD码B.5421BCD码C.余三码D.格雷码2.以下代码中为恒权码的为。码B.5421BCD码C.余三码D.格雷码4.十进制数25用8421BCD码表示为。1010101C.1001015.与十进制数()10等值的数或代码为。A.(01018421BCDB.16C.26.与八进制数8等值的数为:A.2B.16C.)16D.27.常用的BCD码有。A.奇偶校验码B.格雷码C.8421码D.余三码8.与模拟电路相比,数字电路主要的优点有。A.容易设计B.通用性强C.保密性好D.抗干扰能力强9.以下表达式中符合逻辑运算法则的是。·C=C2+1=10C.0<1+1=110.逻辑变量的取值1和0可以表示:。A.开关的闭合、断开B.电位的高、低C.真与假D.电流的有、无11.当逻辑函数有n个变量时,共有个变量取值组合A.nB.2nC.n2D.2n12.逻辑函数的表示方法中具有唯一性的是。A.真值表B.表达式C.逻辑图D.卡诺图=A+BD+CDE+D=。A.B.C.D.14.逻辑函数F==。C.D.16.A+BC=。A.A+B+CC.(A+B)(A+C)+C17.在何种输入情况下,“与非”运算的结果是逻辑0。A.全部输入是0B.任一输入是0C.仅一输入是0D.全部输入是118.在何种输入情况下,“或非”运算的结果是逻辑0。A.全部输入是0B.全部输入是1C.任一输入为0,其他输入为1D.任一输入为1三、填空题7.分析数字电路的主要工具是,数字电路又称作。8.常用的BCD码有、、、等。常用的可靠性代码有、等。10.逻辑代数又称为代数。最基本的逻辑关系有、、三种。常用的几种导出的逻辑运算为、、、、。11.逻辑函数的常用表示方法有、、。12.逻辑代数中与普通代数相似的定律有、、。摩根定律又称为。13.逻辑代数的三个重要规则是、、。14.逻辑函数F=+B+D的反函数=。15.逻辑函数F=A(B+C)·1的对偶函数是。16.添加项公式AB+C+BC=AB+C的对偶式为。17.逻辑函数F=EMBEDEquation.3EMBEDEquation.3EMBEDEquation.3+A+B+C+D=。18.逻辑函数F==。一、选择题1.下列表达式中不存在竞争冒险的有。=+AB=AB+C=AB+AB=(A+)A2.若在编码器中有50个编码对象,则要求输出二进制代码位数为位。.6C3.一个16选一的数据选择器,其地址输入(选择控制输入)端有个。.2C4.下列各函数等式中无冒险现象的函数式有。A.B.C.D.E.5.函数,当变量的取值为时,将出现冒险现象。=C=1=C=0C.A=1,C=0=0,B=0D.11.101键盘的编码器输出位二进制代码。.6C12.用三线-八线译码器74LS138实现原码输出的8路数据分配器,应。A.=1,=D,=0B.=1,=D,=DC.=1,=0,=DD.=D,=0,=013.以下电路中,加以适当辅助门电路,适于实现单输出组合逻辑电路。A.二进制译码器B.数据选择器C.数值比较器D.七段显示译码器15.用三线-八线译码器74LS138和辅助门电路实现逻辑函数Y=,应。A.用与非门,Y=B.用与门,Y=C.用或门,Y=D.用或门,Y=二、判断题(正确打√,错误的打×)9.优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。()10.编码与译码是互逆的过程。()11.二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路。()12.液晶显示器的优点是功耗极小、工作电压低。()13.液晶显示器可以在完全黑暗的工作环境中使用。()14.半导体数码显示器的工作电流大,约10mA左右,因此,需要考虑电流驱动能力问题。()15.共阴接法发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。()16.数据选择器和数据分配器的功能正好相反,互为逆过程。()17.用数据选择器可实现时序逻辑电路。()18.组合逻辑电路中产生竞争冒险的主要原因是输入信号受到尖峰干扰。()一、选择题5.对于T触发器,若原态Qn=1,欲使新态Qn+1=1,应使输入T=。.1CD.8.欲使JK触发器按Qn+1=Qn工作,可使JK触发器的输入端。=K=0=Q,K==,K=Q=Q,K=0=0,K=9.欲使JK触发器按Qn+1=n工作,可使JK触发器的输入端。=K=1=Q,K==,K=Q=Q,K=1=1,K=Q10.欲使JK触发器按Qn+1=0工作,可使JK触发器的输入端。=K=1=Q,K=Q=Q,K=1=0,K=1=K=111.欲使JK触发器按Qn+1=1工作,可使JK触发器的输入端。=K=1=1,K=0C.J=K==K=0=,K=012.欲使D触发器按Qn+1=n工作,应使输入D=。.1CD.13.下列触发器中,克服了空翻现象的有。A.边沿D触发器B.主从RS触发器C.同步RS触发器D.主从JK触发器14.下列触发器中,没有约束条件的是。A.基本RS触发器B.主从RS触发器C.同步RS触发器D.边沿D触发器15.描述触发器的逻辑功能的方法有。A.状态转换真值表B.特性方程C.状态转换图D.状态转换卡诺图二、判断题(正确打√,错误的打×)1.D触发器的特性方程为Qn+1=D,与Qn无关,所以它没有记忆功能。()2.RS触发器的约束条件RS=0表示不允许出现R=S=1的输入。()3.主从JK触发器、边沿JK触发器和同步JK触发器的逻辑功能完全相同。()4.若要实现一个可暂停的一位二进制计数器,控制信号A=0计数,A=1保持,可选用T触发器,且令T=A。()5.由两个TTL或非门构成的基本RS触发器,当R=S=0时,触发器的状态为不定。6.对边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次。()三、填空题2.一个基本RS触发器在正常工作时,它的约束条件是+=1,则它不允许输入=且=的信号。3.触发器有两个互补的输出端Q、,定义触发器的1状态为,0状态为,可见触发器的状态指的是端的状态。4.一个基本RS触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是。5.在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的,触发方式为式或式的触发器不会出现这种现象。一、选择题1.同步计数器和异步计数器比较,同步计数器的显着优点是。A.工作速度高B.触发器利用率高C.电路简单D.不受时钟CP控制。2.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。.5C3.下列逻辑电路中为时序逻辑电路的是。A.变量译码器B.加法器C.数码寄存器D.数据选择器4.N个触发器可以构成最大计数长度(进制数)为的计数器。5.N个触发器可以构成能寄存位二进制数码的寄存器。+16.五个D触发器构成环形计数器,其计数长度为。.10C7.同步时序电路和异步时序电路比较,其差异在于后者。A.没有触发器B.没有统一的时钟脉冲控制C.没有稳定状态D.输出只与内部状态有关8.一位8421BCD码计数器至少需要个触发器。.4C9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用级触发器。.3C10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。.2C11.用二进制异步计数器从0做加法,计到十进制数178,则最少需要个触发器。.6C12.某电视机水平-垂直扫描发生器需要一个分频器将31500HZ的脉冲转换为60HZ的脉冲,欲构成此分频器至少需要个触发器。.60C13.某移位寄存器的时钟脉冲频率为100KHZ,欲将存放在该寄存器中的数左移8位,完成该操作需要时间。μSμSμS14.若用JK触发器来实现特性方程为,则JK端的方程为。=AB,K==AB,K==,K=AB=,K=AB15.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需要片。.4C16.若要设计一个脉冲序列为10的序列脉冲发生器,应选用个触发器。.3C二、判断题(正确打√,错误的打×)1.同步时序电路由组合电路和存储器两部分组成。()2.组合电路不含有记忆功能的器件。()3.时序电路不含有记忆功能的器件。()4.同步时序电路具有统一的时钟CP控制。()5.异步时序电路的各级触发器类型不同。()6.环形计数器在每个时钟脉冲CP作用时,仅有一位触发器发生状态更新。()7.环形计数器如果不作自启动修改,则总有孤立状态存在。()8.计数器的模是指构成计数器的触发器的个数。()9.计数器的模是指对输入的计数脉冲的个数。()10.D触发器的特征方程Qn+1=D,而与Qn无关,所以,D触发器不是时序电路。()11.在同步时序电路的设计中,若最简状态表中的状态数为2N,而又是用N级触发器来实现其电路,则不需检查电路的自启动性。()12.把一个5进制计数器与一个10进制计数器串联可得到15进制计数器。()13.同步二进制计数器的电路比异步二进制计数器复杂,所以实际应用中较少使用同步二进制计数器。()14.利用反馈归零法获得N进制计数器时,若为异步置零方式,则状态SN只是短暂的过渡状态,不能稳定而是立刻变为0状态。()三、填空题1.寄存器按照功能不同可分为两类:寄存器和寄存器。2.数字电路按照是否有记忆功能通常可分为两类:、。3.由四位移位寄存器构成的顺序脉冲发生器可产生个顺序脉冲。4.时序逻辑电路按照其触发器是否有统一的时钟控制分为时序电路和时序电路。一、选择题(每小题2分,共20分)1.八进制(273)8中,它的第三位数2的位权为___B___。A.(128)10B.(64)10C.(256)10D.(8)102.已知逻辑表达式,与它功能相等的函数表达式_____B____。A.B.C.D.3.数字系统中,采用____C____可以将减法运算转化为加法运算。A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。A.与关系B.异或关系C.同或关系D.无法判断5.连续异或1985个1的结果是____B_____。A.0    B.1C.不确定  D.逻辑概念错误6.与逻辑函数功能相等的表达式为___C_____。A.B.C.D.7.下列所给三态门中,能实现C=0时,F=;C=1时,F为高阻态的逻辑功能的是____A______8.如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。A.500KHzB.200KHzC.100KHzD.50KHz9.下列器件中,属于时序部件的是_____A_____。A.计数器B.译码器C.加法器D.多路选择器10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。A.0100100B.1100011C.1011011D.0011011二、填空题(每小题2分,共20分)电路的电源是__5__V,高电平1对应的电压范围是。个输入端的二进制译码器,共有_______个输出端。对于每一组输入代码,有____1____个输出端是有效电平。13.给36个字符编码,至少需要____6______位二进制数。14.存储12位二进制信息需要___12____个触发器。15.按逻辑功能分类,触发器可分为__RS___、__D__、__JK__、_T_等四种类型。16.对于D触发器,若现态Qn=0,要使次态Qn+1=0,则输入D=__0_____。17.请写出描述触发器逻辑功能的几种方式___特性表、特性方程、状态图、波形图_________。18.多个集电极开路门(OC门)的输出端可以_____线与_______。触发器的特性方程是________,当T=1时,特性方程为________,这时触发器可以用来作___2分频器_____。20.构造一个十进制的异步加法计数器,需要多少个__4____触发器。计数器的进位Cy的频率与计数器时钟脉冲CP的频率之间的关系是____1﹕10_________。21.用卡诺图化简下列逻辑函数解:画出逻辑函数F的卡诺图。得到 CDAB 00 01 11 10 00 1 1 1 01 11 1 1 1 1 10 1 1 122.(本题满分8分)电路如图所示,D触发器是正边沿触发器,图中给出了时钟CP及输入K的波形。(1)试写出电路次态输出逻辑表达式。(2)画出的波形。解:23.(本题满分10分)分析图示逻辑电路,求出F的逻辑函数表达式,化简后用最少的与非门实现之,并画出逻辑电路图。解:四、综合应用题(每小题10分,共20分)26.下图是由三个D触发器构成的寄存器,试问它是完成什么功能的寄存器设它初始状态Q2Q1Q0=110,在加入1个CP脉冲后,Q2Q1Q0等于多少此后再加入一个CP脉冲后,Q2Q1Q0等于多少解:时钟方程激励方程,,状态方程,,状态表 110101011 101011110画出状态图一填空题(每空1分,共15分)5用移位寄存器产生序列,至少需要(3)个触发器。6要使JK触发器按工作,则JK触发器的激励方程应写为(1,1);如果用D触发器实现这一转换关系,则D触发器的激励方程应写为(Q’)。二判断题(每问2分,共10分)1(T)计数模为2n的扭环计数器所需的触发器为n个。2(F)若逻辑方程AB=AC成立,则B=C成立。3(F)一个逻辑函数的全部最小项之积恒等于1。4(T)CMOS与非门的未用输入端应连在高电平上。5(F)Mealy型时序电路的输出只与当前的外部输入有关。三(16分)1化简下列函数(共6分,每题3分)1)2)2.分析下图所示的同步时序电路(10分)1)写出触发器的输入激励表达式,输出表达式和状态转换表(或状态转换图);2)说明该电路实现什么功能 000 01 0 001 10 0 010 11 0 011 00 1 100 11 0 101 00 0 110 01 0 111 10 1解:(a)(b)X=0时,电路为四进制加法计数器;X=1时,电路为四进制减法计数器。四分析下图所示的组合逻辑电路(12分)1画出输出F对输入Z的定时关系图(假定输入X和Y都保持高电平,且每个门电路都有一个单位时间的延迟);2判定该电路是否存在有静态冒险问题,如果存在静态冒险,请消除它。解:(a)上图红线(b)存在冒险六分析下面的电路,完成下面的问题(15分)1根据电路,完成给定的时序图;2画出其状态转换图或状态转换表。解:(1)上图红线(2) 000 001 001 010 010 011 011 100 100 001 101 010 110 000 111 000 110 0 100 1 001 0 010 1 101 1 011 0 000 1 111 0七请设计一个序列信号发生器,该电路能在时钟信号CP作用下,周期性输出“110010”的串行序列信号;要求采用最小风险方法设计;采用D触发器和必要门电路实现并画出电路原理图。(10分)。解: 00 01 11 10 0 1 1 0 1 1 0 0 0 1 八设计一个101序列信号检测器,当输入连续出现101时,输出为1,否则输出为0;要求电路无风险(输入不可重叠,不做图)。(10分)例:输入110101001101110输出000100000001000解:状态转换表及编码 S A 0 1 STA STA/0 A1/0 A1 A10/0 A1/0 A10 STA/0 STA/1 S*/Z S A 0 1 00 00/0 01/0 01 10/0 01/0 10 00/0 00/1 S*/Z得到状态方程和激励方程 00 01 11 10 0 0 0 d 0 1 1 0 d 0 00 01 11 10 0 0 1 d 0 1 0 0 d 0 00 01 11 10 0 0 0 d 0 1 1 1 d 0画出状态图无效状态可以回到有效循环,该电路为自启动。BFCBA&?ENCBAF&?ENAFCBA&?ENDFCBA&?ENCCPQQDC共阴极LED数码管ABCDabcdefg译码器gfdecabQCPKDQCQ=1QQQKCP�EMBEDAutoCAD.Drawing.15���FBCA11&=1≥1&A2A1A0S1S2S374LS138Y7Y6Y5Y4Y3Y2Y1Y0Y15Y8Y7Y01A3A2A1A07413874138Q2DCIQ1DCIQ0DCICPBFCBA&?ENCBAF&?ENAFCBA&?ENDFCBA&?ENCCPQQDC共阴极LED数码管ABCDabcdefg译码器gfdecabQCPKDQCQ=1QQQKCP�EMBEDAutoCAD.Drawing.15���Q2DCIQ1DCIQ0DCICP�EMBEDPBrush���ZFXZYF�EMBEDEquation.DSMT4���_1083671778.unknown_1083671779.unknown_1083671780.unknown_1083671781.unknown_1083682851.unknown_1083682852.unknown_1083682853.unknown_1083682854.unknown_1083682944.unknown_1083682945.unknown_1083682958.unknown_1083682959.unknown_1085509399.unknown_1085509400.unknown_1085509452.unknown_1085509453.unknown_1085509560.unknown_1085509561.unknown_1085509840.unknown_1085509864.unknown_1085509865.unknown_1085510021.unknown_1085510022.unknown_1085510030.unknown_1085510037.unknown_1085510142.unknown_1085510283.unknown_1085510317.unknown_1085510659.unknown_1085990543.unknown_1085990649.unknown_1085990663.unknown_1085990678.unknown_1085990679.unknown_1086070706.unknown_1086070759.unknown_1086070816.unknown_1086070858.unknown_1086071078.unknown_1086071344.unknown_1086071374.unknown_1086071375.unknown_1086071393.unknown_1086071413.unknown_1086071570.unknown_1086072991.unknown_1086072992.unknown_1086073032.unknown_1086073033.unknown_1086073034.unknown_1086073035.unknown_1086073036.unknown_1086073037.unknown_1086074791.unknown_1086074814.unknown_1086074815.unknown_1086074816.unknown_1086075008.unknown_1086075009.unknown_1086075029.unknown_1086075030.unknown_1086075031.unknown_1086075032.unknown_1086096926.unknown_1086097192.unknown_1086097193.unknown_1086097241.unknown_1086097242.unknown_1086615696.unknown_1086615697.unknown_1086615698.unknown_1086615716.unknown_1086615717.unknown_1086615718.unknown_1086615719.unknown_1086615734.unknown_1086615735.unknown_1086615736.unknown_1086615737.unknown_1086615750.unknown_1086615751.unknown_1086616783.unknown_1086634936.unknown_1086634937.unknown_1086634938.unknown_1086634939.unknown_1086635003.unknown_1086635046.unknown_1086635069.unknown_1086635070.unknown_1086635071.unknown_1086635072.unknown_1086635073.unknown_1086635074.unknown_1086635689.unknown_1086635737.unknown_1086635902.unknown_1086635969.unknown_1086636046.unknown_1086636092.unknown_1086675902.unknown_1086675938.unknown_1086675985.unknown_1086676031.unknown_1086676111.unknown_1086676142.unknown_1086676220.unknown_1123768185.unknown_1123768186.unknown_1123783110.unknown_1123783188.unknown_1124823580.dwg_1124823581.dwg_1148458683.unknown_1148458684.unknown_1148458741.unknown_1148458742.unknown_1148639066.unknown_1148639067.unknown_1148728746.unknown_1148728747.unknown_1148733074.unknown_1148733075.unknown_1148733196.unknown_1148733197.unknown_1148733361.unknown_1148733362.unknown_1148733542.unknown_1148733543.unknown_1148733574.unknown_1148733575.unknown_1148734513.unknown_1148734514.unknown_1148738715.unknown_1161785342.unknown_1164174923.unknown_1164175011.unknown_1166296344.unknown_1166296402.unknown_1166296490.unknown_1166296503.unknown_1166305724.unknown_1166305741.unknown_1166307809.unknown_1166307830.unknown_1166346490.unknown_1166347166.unknown_1166347180.unknown_1166347202.unknown_1166347442.unknown_1166351403.unknown_1166351428.unknown_1166351435.unknown_1166351441.unknown_1166351462.unknown_1166351475.unknown_1166351486.unknown_1166351491.unknown_1166351579.unknown_1166354139.unknown_1166362428.unknown_1166362457.unknown_1258027415.unknown_1258027416.unknown_1258028954.unknown_1258028955.unknown_1258029010.unknown_1258029011.unknown_1258029276.unknown_1258029277.unknown_1258029280.unknown_1258029281.unknown_1258029283.unknown_1258029284.unknown_1258029286.unknown_1258029287.unknown_1258029290.unknown_1258029291.unknown_1258029293.unknown_1258029294.unknown_1258029296.unknown_1258029297.unknown
本文档为【数字逻辑期末复习题资料】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: ¥12.0 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
蓝蓝的天
暂无简介~
格式:doc
大小:842KB
软件:Word
页数:0
分类:高中语文
上传时间:2020-09-27
浏览量:65