首页 vhdl100

vhdl100

举报
开通vip

vhdl100 按Ctrl+P可以打印本页     目 录 372绪论——专用集成电路(ASIC)设计与VHDL语言(代前言)1a1c1ac111c111a1a1 373IccccASIC设计面临严峻的挑战2a2c2ac222c222a2a2 374IIccc硬件描述语言cVHDL的出现与发展状况3a3c3ac333c333a3a3 375IIIccVHDL语言的特点4a4c4ac444c444a4a4 376IVcccVHDL语言高级综合5a5c5ac555c555a5a5 377...

vhdl100
按Ctrl+P可以打印本页     目 录 372绪论——专用集成 电路 模拟电路李宁答案12数字电路仿真实验电路与电子学第1章单片机复位电路图组合逻辑电路课后答案 (ASIC)设计与VHDL语言(代前言)1a1c1ac111c111a1a1 373IccccASIC设计面临严峻的挑战2a2c2ac222c222a2a2 374IIccc硬件描述语言cVHDL的出现与发展状况3a3c3ac333c333a3a3 375IIIccVHDL语言的特点4a4c4ac444c444a4a4 376IVcccVHDL语言高级综合5a5c5ac555c555a5a5 377VccccVHDL语言混合级模拟6a6c6ac666c666a6a6 378VIcccVHDL语言高级综合系统Talent7a7c7ac777c777a7a7 379VIIccVHDL语言100例的选取与本书的撰写目标8a8c8ac888c888a8a8 3710第1例 带控制端口的加法器9a9c9ac999c999a9a9 3711第2例cc无控制端口的加法器10a10c10ac101010c101010a10a10 3712第3例 乘法器11a11c11ac111111c111111a11a11 3713第4例 比较器12a12c12ac121212c121212a12a12 3714第5例 二路选择器13a13c13ac131313c131313a13a13 3715第6例 寄存器14a14c14ac141414c141414a14a14 3716第7例 移位寄存器15a15c15ac151515c151515a15a15 3717第8例 综合单元库16a16c16ac161616c161616a16a16 3718第9例 七值逻辑与基本数据类型17a17c17ac171717c171717a17a17 3719第10例 函数 excel方差函数excelsd函数已知函数     2 f x m x mx m      2 1 4 2拉格朗日函数pdf函数公式下载 18a18c18ac181818c181818a18a18 3720第11例 七值逻辑线或分辨函数19a19c19ac191919c191919a19a19 3721第12例 转换函数20a20c20ac202020c202020a20a20 3722第13例 左移函数21a21c21ac212121c212121a21a21 3723第14例 七值逻辑程序包22a22c22ac222222c222222a22a22 页码,1/5目 录 2004-4-11http://www.china-pub.com/computers/common/Catalog.asp?IDD=4311&type=1 3724第15例 四输入多路器23a23c23ac232323c232323a23a23 3725第16例 目标选择器24a24c24ac242424c242424a24a24 3726第17例 奇偶校验器25a25c25ac252525c252525a25a25 3727第18例 映射单元库及其使用举例26a26c26ac262626c262626a26a26 3728第19例 循环边界常数化测试27a27c27ac272727c272727a27a27 3729第20例 保护保留字28a28c28ac282828c282828a28a28 3730第21例 进程死锁29a29c29ac292929c292929a29a29 3731第22例 振荡与死锁30a30c30ac303030c303030a30a30 3732第23例 振荡电路31a31c31ac313131c313131a31a31 3733第24例 分辨信号与分辨函数32a32c32ac323232c323232a32a32 3734第25例 信号驱动源33a33c33ac333333c333333a33a33 3735第26例 属性TRANSACTION和分辨信号34a34c34ac343434c343434a34a34 3736第27例 块保护及属性EVENT,35STABLE35a35c35ac353535c353535a35a35 3737第28例 形式参数属性的测试36a36c36ac363636c363636a36a36 3738第29例 进程和并发语句37a37c37ac373737c373737a37a37 3739第30例 信号发送与接收38a38c38ac383838c383838a38a38 3740第31例 中断处理优先 机制 综治信访维稳工作机制反恐怖工作机制企业员工晋升机制公司员工晋升机制员工晋升机制图 建模39a39c39ac393939c393939a39a39 3741第32例 过程限定40a40c40ac404040c404040a40a40 3742第33例 整数比较器及其测试41a41c41ac414141c414141a41a41 3743第34例 数据总线的读写42a42c42ac424242c424242a42a42 3744第35例 基于总线的数据通道43a43c43ac434343c434343a43a43 3745第36例 基于多路器的数据通道44a44c44ac444444c444444a44a44 3746第37例 四值逻辑函数45a45c45ac454545c454545a45a45 3747第38例 四值逻辑向量按位或运算46a46c46ac464646c464646a46a46 页码,2/5目 录 2004-4-11http://www.china-pub.com/computers/common/Catalog.asp?IDD=4311&type=1 3748第39例 生成语句描述 规则 编码规则下载淘宝规则下载天猫规则下载麻将竞赛规则pdf麻将竞赛规则pdf 结构47a47c47ac474747c474747a47a47 3749第40例 带类属的译码器描述48a48c48ac484848c484848a48a48 3750第41例 带类属的测试平台49a49c49ac494949c494949a49a49 3751第42例 行为与结构的混合描述50a50c50ac505050c505050a50a50 3752第43例 四位移位寄存器51a51c51ac515151c515151a51a51 3753第44例 寄存/计数器52a52c52ac525252c525252a52a52 3754第45例 顺序过程调用53a53c53ac535353c535353a53a53 3755第46例 VHDL中generic缺省值的使用54a54c54ac545454c545454a54a54 3756第47例 无输入元件的模拟55a55c55ac555555c555555a55a55 3757第48例 测试激励向量的编写56a56c56ac565656c565656a56a56 3758第49例ccdelta延迟例释57a57c57ac575757c575757a57a57 3759第50例 惯性延迟分析58a58c58ac585858c585858a58a58 3760第51例 传输延迟驱动优先59a59c59ac595959c595959a59a59 3761第52例 多倍(次)分频器60a60c60ac606060c606060a60a60 3762第53例 三位计数器与测试平台61a61c61ac616161c616161a61a61 3763第54例 分秒计数显示器的行为描述62a62c62ac626262c626262a62a62 3764第55例 地址计数器63a63c63ac636363c636363a63a63 3765第56例 指令预读计数器64a64c64ac646464c646464a64a64 3766第57例 加.c减.c乘指令的译码和操作65a65c65ac656565c656565a65a65 3767第58例 2-4译码器结构描述66a66c66ac666666c666666a66a66 3768第59例 2-4译码器行为描述67a67c67ac676767c676767a67a67 3769第60例 转换函数在元件例示中的应用68a68c68ac686868c686868a68a68 3770第61例 基于同一基类型的两分辨类型的赋值相容问 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 69a69c69ac696969c696969a69a69 3771第62例 最大公约数的计算70a70c70ac707070c707070a70a70 页码,3/5目 录 2004-4-11http://www.china-pub.com/computers/common/Catalog.asp?IDD=4311&type=1 3772第63例 最大公约数七段显示器编码71a71c71ac717171c717171a71a71 3773第64例 交通灯控制器72a72c72ac727272c727272a72a72 3774第65例 空调系统有限状态自动机73a73c73ac737373c737373a73a73 3775第66例 FIR滤波器74a74c74ac747474c747474a74a74 3776第67例 五阶椭圆滤波器75a75c75ac757575c757575a75a75 3777第68例 闹钟系统的控制器76a76c76ac767676c767676a76a76 3778第69例 闹钟系统的译码器77a77c77ac777777c777777a77a77 3779第70例 闹钟系统的移位寄存器78a78c78ac787878c787878a78a78 3780第71例 闹钟系统的闹钟寄存器和时间计数器79a79c79ac797979c797979a79a79 3781第72例 闹钟系统的显示驱动器80a80c80ac808080c808080a80a80 3782第73例 闹钟系统的分频器81a81c81ac818181c818181a81a81 3783第74例 闹钟系统的整体组装82a82c82ac828282c828282a82a82 3784第75例 存储器83a83c83ac838383c838383a83a83 3785第76例 电机转速控制器84a84c84ac848484c848484a84a84 3786第77例 神经元计算机85a85c85ac858585c858585a85a85 3787第78例ccAm2901四位微处理器的ALU输入86a86c86ac868686c868686a86a86 3788第79例ccAm2901四位微处理器的ALU87a87c87ac878787c878787a87a87 3789第80例ccAm2901四位微处理器的RAM88a88c88ac888888c888888a88a88 3790第81例ccAm2901四位微处理器的寄存器89a89c89ac898989c898989a89a89 3791第82例ccAm2901四位微处理器的输出与移位90a90c90ac909090c909090a90a90 3792第83例ccAm2910四位微程序控制器中的多路选择器91a91c91ac919191c919191a91a91 3793第84例ccAm2910四位微程序控制器中的计数器/寄存器92a92c92ac929292c929292a92a92 3794第85例ccAm2910四位微程序控制器的指令计数器93a93c93ac939393c939393a93a93 3795第86例ccAm2910四位微程序控制器的堆栈94a94c94ac949494c949494a94a94 页码,4/5目 录 2004-4-11http://www.china-pub.com/computers/common/Catalog.asp?IDD=4311&type=1 3796第87例 Am2910四位微程序控制器的指令译码器95a95c95ac959595c959595a95a95 3797第88例 可控制计数器96a96c96ac969696c969696a96a96 3798第89例 四位超前进位加法器97a97c97ac979797c979797a97a97 3799第90例 实现窗口搜索算法的并行系统(1)——协同处理器98a98c98ac989898c989898a98a98 3700第91例 实现窗口搜索算法的并行系统(2)——序列存储器99a99c99ac999999c999999a99a99 3701第92例 实现窗口搜索算法的并行系统(3)——字符串存储器 100a100c100ac100100100c100100100a100a100 3702第93例 实现窗口搜索算法的并行系统(4)——顶层控制器 101a101c101ac101101101c101101101a101a101 3703第94例 MB86901流水线行为描述组成框架102a102c102ac102102102c102102102a102a102 3704第95例 MB86901寄存器文件管理的描述103a103c103ac103103103c103103103a103a103 3705第96例 MB86901内ALU的行为描述104a104c104ac104104104c104104104a104a104 3706第97例 移位指令的行为描述105a105c105ac105105105c105105105a105a105 3707第98例 单周期指令的描述106a106c106ac106106106c106106106a106a106 3708第99例 多周期指令的描述107a107c107ac107107107c107107107a107a107 3709第100例 MB86901流水线行为模型108a108c108ac108108108c108108108a108a108 3710参考文献109a109c109ac109109109c109109109a109a109 3711附录I 100例内容摘要一览表110a110c110ac110110110c110110110a110a110 3712附录IIcccVHDL专用术语中英文对照111a111c111ac111111111c111111111a111a111 3713附录IIIccTllent系统cVHDL模拟器使用说明112a112c112ac112112112c112112112a112a112 3714附光盘:内容包括100例有关的VHDL描述文件及Talent系统VHDL模拟器 cccccccccccccccc113a113c113ac113113113c113113113a113a113 [关闭此窗口] 页码,5/5目 录 2004-4-11http://www.china-pub.com/computers/common/Catalog.asp?IDD=4311&type=1 << /ASCII85EncodePages false /AllowTransparency false /AutoPositionEPSFiles true /AutoRotatePages /All /Binding /Left /CalGrayProfile (Dot Gain 20%) /CalRGBProfile (sRGB IEC61966-2.1) /CalCMYKProfile (U.S. Web Coated \050SWOP\051 v2) /sRGBProfile (sRGB IEC61966-2.1) /CannotEmbedFontPolicy /Warning /CompatibilityLevel 1.4 /CompressObjects /Tags /CompressPages true /ConvertImagesToIndexed true /PassThroughJPEGImages true /CreateJDFFile false /CreateJobTicket false /DefaultRenderingIntent /Default /DetectBlends true /ColorConversionStrategy /LeaveColorUnchanged /DoThumbnails false /EmbedAllFonts true /EmbedJobOptions true /DSCReportingLevel 0 /EmitDSCWarnings false /EndPage -1 /ImageMemory 1048576 /LockDistillerParams false /MaxSubsetPct 100 /Optimize true /OPM 1 /ParseDSCComments true /ParseDSCCommentsForDocInfo true /PreserveCopyPage true /PreserveEPSInfo true /PreserveHalftoneInfo false /PreserveOPIComments false /PreserveOverprintSettings true /StartPage 1 /SubsetFonts true /TransferFunctionInfo /Apply /UCRandBGInfo /Preserve /UsePrologue false /ColorSettingsFile () /AlwaysEmbed [ true ] /NeverEmbed [ true ] /AntiAliasColorImages false /DownsampleColorImages true /ColorImageDownsampleType /Bicubic /ColorImageResolution 300 /ColorImageDepth -1 /ColorImageDownsampleThreshold 1.50000 /EncodeColorImages true /ColorImageFilter /DCTEncode /AutoFilterColorImages true /ColorImageAutoFilterStrategy /JPEG /ColorACSImageDict << /QFactor 0.15 /HSamples [1 1 1 1] /VSamples [1 1 1 1] >> /ColorImageDict << /QFactor 0.15 /HSamples [1 1 1 1] /VSamples [1 1 1 1] >> /JPEG2000ColorACSImageDict << /TileWidth 256 /TileHeight 256 /Quality 30 >> /JPEG2000ColorImageDict << /TileWidth 256 /TileHeight 256 /Quality 30 >> /AntiAliasGrayImages false /DownsampleGrayImages true /GrayImageDownsampleType /Bicubic /GrayImageResolution 300 /GrayImageDepth -1 /GrayImageDownsampleThreshold 1.50000 /EncodeGrayImages true /GrayImageFilter /DCTEncode /AutoFilterGrayImages true /GrayImageAutoFilterStrategy /JPEG /GrayACSImageDict << /QFactor 0.15 /HSamples [1 1 1 1] /VSamples [1 1 1 1] >> /GrayImageDict << /QFactor 0.15 /HSamples [1 1 1 1] /VSamples [1 1 1 1] >> /JPEG2000GrayACSImageDict << /TileWidth 256 /TileHeight 256 /Quality 30 >> /JPEG2000GrayImageDict << /TileWidth 256 /TileHeight 256 /Quality 30 >> /AntiAliasMonoImages false /DownsampleMonoImages true /MonoImageDownsampleType /Bicubic /MonoImageResolution 1200 /MonoImageDepth -1 /MonoImageDownsampleThreshold 1.50000 /EncodeMonoImages true /MonoImageFilter /CCITTFaxEncode /MonoImageDict << /K -1 >> /AllowPSXObjects false /PDFX1aCheck false /PDFX3Check false /PDFXCompliantPDFOnly false /PDFXNoTrimBoxError true /PDFXTrimBoxToMediaBoxOffset [ 0.00000 0.00000 0.00000 0.00000 ] /PDFXSetBleedBoxToMediaBox true /PDFXBleedBoxToTrimBoxOffset [ 0.00000 0.00000 0.00000 0.00000 ] /PDFXOutputIntentProfile (None) /PDFXOutputCondition () /PDFXRegistryName (http://www.color.org) /PDFXTrapped /Unknown /Description << /FRA /JPN /DEU /PTB /DAN /NLD /ESP /SUO /ITA /NOR /SVE /ENU >> >> setdistillerparams << /HWResolution [2400 2400] /PageSize [612.000 792.000] >> setpagedevice
本文档为【vhdl100】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_664953
暂无简介~
格式:pdf
大小:89KB
软件:PDF阅读器
页数:0
分类:工学
上传时间:2011-12-30
浏览量:21