首页 USB数据采集卡毕业论文

USB数据采集卡毕业论文

举报
开通vip

USB数据采集卡毕业论文USB数据采集卡毕业论文 题目名称: USB数据采集卡 题目类型: 毕 业 设 计 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计,论文,~是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知~除文中特别加以标注和致谢的地方外~不包含其他人或组织已经发表或公布过的研究成果~也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体~均已在文中作了明确的说明并表示了谢意。 作 者 签 名: 日 期: 指导教师签名...

USB数据采集卡毕业论文
USB数据采集卡毕业论文 题目名称: USB数据采集卡 题目类型: 毕 业 设 计 毕业 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 (论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计,论文,~是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知~除文中特别加以标注和致谢的地方外~不包含其他人或组织已经发表或公布过的研究成果~也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体~均已在文中作了明确的说明并表示了谢意。 作 者 签 名: 日 期: 指导教师签名: 日 期: 使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计,论文,的规定~即:按照学校要求提交毕业设计,论文,的印刷本和电子版本,学校有权保存毕业设计,论文,的印刷本和电子版~并提供目录检索与阅览服务,学校可以采用影印、缩印、数字化或其它复制手段保存论文,在不以赢利为目的前提下~学校可以公布论文的部分或全部内容。 作者签名: 日 期: 目录 长江大学毕业设计(论文)任务书 ......................................... I 毕业设计开题报告 ..................................................... III 设计)指导教师评审意见 .............................. VIII 长江大学毕业论文( 长江大学毕业论文(设计)评阅教师评语 .................................... IX 长江大学毕业论文(设计)答辩会议记录 ..................................... X 中外文摘要 ............................................................ XI ................................................................ 1 1 前言 1.1 目的 ........................................................... 1 1.2 意义 ........................................................... 1 1.3 范围 ........................................................... 1 2 选题背景 ............................................................ 3 2.1 课题来源、目的和意义 ........................................... 3 2.2 课题研究内容和关键技术 ......................................... 3 2.3 国内外研究现状与发展趋势 ....................................... 4 3 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 论证 ............................................................ 6 3.1 系统工作原理 ................................................... 6 3.2 系统原理图 ..................................................... 6 3.3 系统设计基本思想 ............................................... 7 3.4 系统总体 设计方案 关于薪酬设计方案通用技术作品设计方案停车场设计方案多媒体教室设计方案农贸市场设计方案 论证 ........................................... 7 3.5 USB芯片的选择与论证 ........................................... 8 3.6 USB主控制芯片的方案论证 ....................................... 9 4 过程论述 ........................................................... 11 4.1 系统硬件总体设计 .............................................. 11 4.1.1 系统分析 .................................................... 11 4.1.2 系统技术指标 ................................................ 12 4.2 采集电路设计 .................................................. 12 4.2.1 芯片选择 .................................................... 12 4.2.2 数模转换电路设计 ............................................ 14 4.3 USB接口电路设计 .............................................. 15 4.3.1 芯片选择及芯片介绍 ...................................... 15 4.3.2 芯片接口模式端点缓冲配置 ................................ 16 4.3.3 电路设计 ................................................ 17 4.4 FPGA电路设计 ................................................. 19 4.4.1 FPGA下载电路 ............................................ 19 ................................................ 20 4.4.2 时钟电路 4.5 系统电源及复位电路设计 ........................................ 21 4.6 抗干扰和PCB制作 .............................................. 23 4.7 固件设计 ...................................................... 24 5 结果分析与展望 ..................................................... 28 5.1 系统硬件调试 .................................................. 28 5.1.1 硬件静态调试 ........................................... 28 5.1.2 硬件动态测试 ............................................ 28 5.1.3 USB数据传输电路调试 ..................................... 29 5.1.4 前端数据采集电路调试 .................................... 29 5.2 系统软件调试 .................................................. 30 5.2.1 USB固件程序调试 ......................................... 30 5.2.2 FPGA逻辑调试 ............................................ 31 5.2.3 应用程序调试 ............................................ 31 5.3 系统整体测试 .................................................. 31 5.4 总结 .......................................................... 31 5.5 展望 .......................................................... 32 参考文献 .............................................................. 34 致谢 .................................................................. 36 附录 .................................................................. 37 长江大学毕业设计(论文)任务书 学 院(系)电子信息学院 专业 仪器系 班级:仪器10702 学生姓名 彭璐 指导老师/职称 魏勇,讲师, 1毕业设计(论文)题目 USB数据采集卡的设计 2毕业设计(论文)起止时间:2011年02月21日-2011年6月10日 3毕业设计(论文)所需资料及原始数据(指导老师选定部分) ,1,网站上查找USB数据采集卡方面的资料 ,2,网站上了解CY68013的有关资料 4毕业设计(论文)应完成的主要内容 1、利用PROTEL DXP软件设计USB数据采集卡的PCB板,重点,。 2、毕业论文上必须包含如下内容:中英文摘要、研制目的及意义、 方案选择、基本原理介绍、硬件电路图、硬件电路分析、软件流程图、软件原理介绍、性能分析、结束语、源程序清单。 5毕业设计(论文)的目标及具体要求 设计目标: 利用PROTEL DXP软件设计USB数据采集卡的PCB板~具体要求如下: 1、双层PCB板~双面敷铜~布线符合电气 规范 编程规范下载gsp规范下载钢格栅规范下载警徽规范下载建设厅规范下载 。 2、该数据采集卡中的USB芯片不仅能够工作在USB主模式下~而且能够工作在从模式下。 3、扩展部分:在设计完成PCB板的基础上~焊接并调试好电路板。 I 该部分可分为:单片机程序设计、计算机驱动程序设计、计算机应用程序设计等多方面的工作。 6毕业设计(论文)所需的条件及上机时数 所需的条件:微型计算机一台 USB单片机开发板一套 有关电子元器件 万能板及少量导线 上机时数:,2小时,*,60天,=120小时 任务书批准日期2011年01月13日教研室(系)主任(签字) 任务书下达日期2011年01月13日指导老师(签字) 完成任务日期2010年6月10日学生(签字) II 长江大学 毕业设计开题报告 题 目 名 称 USB数据采集卡 院 ,系, 电子信息学院 专 业 班 级 测控技术与仪器10702班 学 生 姓 名 彭 璐 指 导 教 师 魏 勇 辅 导 教 师 魏 勇 开题报告日期 2011年03月19日 III USB数据采集卡 学生:彭璐 仪器10702班 指导老师:魏勇 电子信息学院 一 题目来源 科研项目 二 研究目的及意义 随着数字化的广泛应用,数据采集也越来越重要,传统的外设与主机的通信口一般采用ISA、PCI、C PCI、1394等 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 ,基于这些接口的产品,安装麻烦,价格昂贵,并受计算机插槽数量、地址中断资源限制、且可扩展性差,USB的出现,很好地解决了以上问题。USB作为一种新型的串口通信标准,具有较高的传输速率,可扩展性好,采用总线供电,使用灵活。它共有4种传输模式:控制传输、同步传输、中断传输、批量传输,以适应不同设备的需要。 信息技术与电子技术的迅猛发展,使得计算机和外围设备也得到飞速发展和应用。过去人们单纯最求计算机与外设之间的传输速度,现在纠错能力和操作安装的简易性也成为人们关注的目标。USB通讯技术的出现,使得高传输速度、纠错能力、易扩展性、方便的即插即用,有机结合在一起。USB设备需要依据USB协议进行数据的解包与打包,低层硬件设备与操作系统之间需要以驱动程序为桥梁,驱动程序以WDW为模型,以DDK为开放工具,以IRP为消息传播载体,来实现与Windows系统底层核心相交互的功能。 三 阅读的主要参考文献及资料名称 [1] 张宏,USB接口设计[M],西安:西安电子科技大学出版社,2002 [2] 汪惊奇,周功业等,基于 USB接口的便携式数据采集系统的实现[M],微型机与应用[M],2002年第8期. IV [3] 马伟,计算机USB系统原理及其主/从机设计[M].北京:航空航天大学出版社, 2004. [4] Cypress Semiconductor Corporation.CY7C68013 EZ-USB FX2 USB Microcontroller[S]. December 19,2002. 四 国内外现状和发展趋势及研究主攻方向 (1) 高速 随着科技的发展以及CPU的进步,计算机处理数据的速度越来越快,作为 数据出入途径的I/O接口一定不能成为瓶颈,所以计算机接口及数据总线的数 据吞吐量增长飞速。这一点在计算机主板南北桥之间通信总线的更替就很明显 地体现出来:先前的PCI总线只有133MB/s的数据传输率,而最近出现的8X V-link总线则达到533MB/s. (2) 串行 通讯速率的提高使得并行接口和连接线不堪重负,并行信号的串扰成了提 升速度的桎梏,接口的通信频率也不能无线提升,而串口提升信号传输频率的 潜力却要大的多,于是形成一个很有趣的局面,就好像8条马路通过汽车还没 有1条快。最近出现的串行ATA硬盘实际上就是一个预兆,如果并行的串扰不 能得到有效解决,将来高速数据传输的主流可能就会是串行通信了。 (3) 通用,可扩展 计算机功能越来越强大,外设也越来越多。串口,并口,IEEE 1394口, 键盘口,鼠标口,让人眼花缭乱。接口的通用性和可扩展性成为一种趋势。 这样可以使用户方便地使用多种外设又不至于被各种各样的接口搞的糊涂, 还可以同时使用几个甚至十几个设备。 五 主要研究内容、需重点研究的关键问题及解决思路 (1) 主要研究内容 通过USB2.0协议将高速的数据采集和即插即用的特性有效的结合起来。 V (2)需重点研究的关键问题 计算机处理的对象是数据,反映客观问题的还是数据。高性能的数据采 集卡使计算机的功能变得更强大 数据采集主要有两个方面的因素: (1) 高精度 这是数据采集系统最基本的要求,错误的或者误差过大的数据没有任何使 用价值。但这个方面需要考虑的因素也最多,不但芯片的信噪比要高,对模拟 电路的设计,PCB制版等也有很严格的要求,这是高速数据采集最困难的地方。 (2) 高速度 科技越来越发达,时间的概念越来越小。也许你需要测量一个微粒的速度, 也许你需要检测一个频率非常高的信号,你就一定需要一个速度足够高的采样 器。1M的采样率不够吗,不,很可能你需要1G。 (3)解决思路 首先,实现USB2.0通讯协议接口,测试最高的数据传输率;其实实现高 速AD采样电路,使其正常工作保证一定的精度。 六 毕业设计所具备的条件 微型计算机一台 USB单片机开发板一套 有关电子器件 万能板及少量导线 七 进度安排 4周— 6周、查找资料 7周—10周、熟悉系统及开发环境 10周—12周、熟悉硬件及焊接 13周—14周、程序设计 15周—16周、系统调试及完善 VI 17周—18周、毕业设计及答辩 八 指导教师审查意见 指导教师签名: 年 月 日 VII 长江大学毕业论文(设计)指导教师评审意见 学生姓名 专业班级 毕业论文 (设计)题目 指导教师 职 称 评审日期 评审参考内容:毕业论文(设计)的研究内容、研究方法及研究结果,难度及工作量,质量和水平,存在的主要问题与不足。学生的学习态度和组织纪律,学生掌握基础和专业知识的情况,解决实际问题的能力,毕业论文(设计)是否完成规定任务,达到了学士学位论文的水平,是否同意参加答辩。 评审意见: 指导教师签名: 评定成绩(百分制):_______分 (注:此页不够,请转反面) VIII 长江大学毕业论文(设计)评阅教师评语 学生姓名 专业班级 毕业论文 (设计)题目 评阅教师 职 称 评阅日期 评阅参考内容:毕业论文(设计)的研究内容、研究方法及研究结果,难度及工作量,质量和水平,存在的主要问题与不足。学生掌握基础和专业知识的情况,解决实际问题的能力,毕业论文(设计)是否完成规定任务,达到了学士学位论文的水平,是否同意参加答辩。 评语: 评阅教师签名: 评定成绩(百分制):_______分 (注:此页不够,请转反面) IX 长江大学毕业论文(设计)答辩会议记录 学生姓名 专业班级 毕业论文 (设计)题目 答辩时间 年 月 日 , 时 答辩地点 一、答辩小组组成 答辩小组组长: 成 员: 二、答辩记录摘要 答辩小组提问(分条摘要列举) 学生回答情况评判 三、答辩小组对学生答辩成绩的评定(百分制):_______分 毕业论文(设计)最终成绩评定(依据指导教师评分、评阅教师等级(五级制):_______ 评分、答辩小组评分和学校关于毕业论文(设计)评分的相关规定) 答辩小组组长(签名) : 秘书(签名): 年 月 日 院(系)答辩委员会主任(签名): 院(系)(盖章) X USB数据采集卡的设计 学生:彭璐~电子信息学院,仪器系, 指导教师:魏勇~ 电子信息学院 [摘要] 现代工业生产和科学研究对数据采集的要求日益增高,在瞬态信号测量、图像处理等一些高速、高精度的测量中,需要通行高速数据采集,现在通用的高速数据采集卡一般多是PCI卡或是ISA卡,存在以下缺点:安装麻烦、价格昂贵:受计算机插槽数量、地址、中断资源限制,可扩展性差:在一些电磁干扰性强的测试现场,无法专门对其做电磁屏蔽,导致采集的数据失真。 通用串行总线是1995年康柏、微软、IBM、DEC等公司为解决传统总线不足而推广的一种新型的通信标准。该总线接口具有安装方便、高带宽、易于扩展的优点。于是笔者以数据采集卡的微控制器CYPRESS公司的CY7C68013的EZ-USB FX2控制芯片来设计高速的USB2.0数据采集卡。 本论文介绍了一个采用 USB2.0 高速串行传输协议的高速数据采集系统,详细描述了数据传输部分和数字采样部分的设计过程、参考电路及相关程序设计,并对该系统进行了综合测试。该系统的数据传输部分采用 EZ-USB FX2 芯片以块传输模式实现了12Mbps 的到主机的实际数据传输率,与模拟-数字采样部分的接口速率则达到 48bps。模拟-数字采样部分采用 AD9058 芯片。该系统还可以对采得的数据进行离散分布和连续波形的分析。 关键字:USB2.0 通用串行总线 FX2 高速数据采集 XI Design of USB Data Acquisition Card Student: Peng Lu, ObserVation and control technology and instrument SuperVisor: Wei Yong, School of Electronic Information [Abstract] With the demond of modern industrial production and scientific research on data acquisition is increasing, So is needed to access high-speed data acquisition in the transient signal measurement, image processing and some other high-speed, high precision measurement, nowadays the uniVersal high-speed data acquisition card is a PCI card or ISA card, but these cards haVe seVeral disadVantage: hard to install, isn’t economical: be restricted by the number of slot、the resources of the aVailable addresses and interruptions, poor expansibility: In some test sites along with strong electromagnetic interference, it’s impossible for us to do some special electromagnetic shielding for them whcih can result in collection of datat dostorion. UniVersal Serial Bus as a new communication standard which is raised by Comaq, Micorsoft, IBM, DEC and other companies together to solVe the problems of the lack of the tradition bus. This bus interface has good adVantages at easily to install, high bandwidth, easy to extend. So I plan to use the CY7C68013’s microcontroller chip EZ-USB FX2 which belongs to company of CPRESS to design this high-speed USB 2.0 Data Acquisition Card. This paper describes a High Speed Data Acquisition System with USB2.0 high-speedserial data transfer protocol. It also introduces the design process of this system, including both hardware and software. At the end of this paper, there` s a complete test of the whole system. This system selects EZ-USB FX2 chip, and realizes a 235Mbps data transfer rate between the host computer and FX2. What` s more, it realizes a 768Mbps data transfer rate at the interface to Analog-Digital conVerter. The Analog-Digital sampling board can process a 8 bits, 48M rate digital sampling with AD9058 and support 24M analog bandwidth. This system can also analyze the discrete distribution or continuous waVeform of the data. [Keywords] USB2.0 UniVersal Serial Bus FX2 High Speed Data Acquisition XII 前言 1 前言 1.1 目的 (1)了解CY7C68013的基本原理及应用,熟悉其关键参数。 (2)熟悉PROTEL DXP软件的开发环境,并利用其设计出USB数据采集卡的PCB板。 1.2 意义 在工业生产与科学研究中,经常需要通过计算机对一些模拟量进行采集、如温度、压力、深度、酸碱度等等。基于目前最长使用的采集方式是A/D数据采集卡。这种采集卡在前面已经介绍过其缺点很多同时也很致命。而传统的外设与主机的通讯接口一般是基于PCI总线、ISA总线或是RS-232C串行总线。PCI总线虽然具有较高的传输速度(132 Mbps),并支持“即插即用”功能,但其缺点是插拔麻烦,且扩展槽有限(一般为5,6个),ISA总线显然存在同样的问题,RS-232C串行总线虽然连接简单,但其传输速度慢(56 Kbps),且主机串口数也有限,这将会浪费我们宝贵的工作时间降低生产工作效率的同时还浪费劳动资源,而我们现在设计的基于EX-USB FX2设计的数据采集卡可以从分利用USB总线上的优势(安装方便、高带宽、易扩展),有效的解决了传统数据采集系统的缺陷。同时它能够针对不同的使用人群提供性价比不一的不同选择,从而给用户带来极大的方便 1.3 范围 Virology HDL是目前应用最为广泛的硬件描述语言,为了制作数字电路而用来描述ASICs和FPGA的设计之用。它可以用来进行各种层次的逻辑设计,也可以进行数字系统的逻辑综合,仿真验证和时序分析等。Virology HDL适合算法级,寄存器级,逻辑级,门级和版图级等各个层次的设计和描述。 FPGA现场可编程门阵列是一种大规模可编程的数字集成电路。FPGA的基本特点主要有: 第1页 共(37)页 USB数据采集卡的设计 1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 )FPGA内部有丰富的触发器和I/O引脚。 3 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 第2页 共(37)页 选题背景 2 选题背景 2.1 课题来源、目的和意义 不能课题来源于科研项目。 目的与意义:随着数字化的广泛应用,数据采集也越来越重要,传统的外设与主机的通信口一般采用ISA、PCI、CPCI、1394等标准,基于这些接口的产品,安装麻烦,价格昂贵,并受计算机插槽数量、地址中断资源限制、且可扩展性差,USB的出现,很好地解决了以上问题。USB作为一种新型的串口通信标准,具有较高的传输速率,可扩展性好,采用总线供电,使用灵活。它共有4种传输模式:控制传输、同步传输、中断传输、批量传输,以适应不同设备的需要。 信息技术与电子技术的迅猛发展,使得计算机和外围设备也得到飞速发展和应用。过去人们单纯最求计算机与外设之间的传输速度,现在纠错能力和操作安装的简易性也成为人们关注的目标。USB通讯技术的出现,使得高传输速度、纠错能力、易扩展性、方便的即插即用,有机结合在一起。USB设备需要依据USB协议进行数据的解包与打包,低层硬件设备与操作系统之间需要以驱动程序为桥梁,驱动程序以WDW为模型,以DDK为开放工具,以IRP为消息传播载体,来实现与Windows系统底层核心相交互的功能。 2.2 课题研究内容和关键技术 课题研究内容:通过USB2.0协议将高速的数据采集卡和即插即用的特性有效的结合起来。 课题关键技术:计算机处理的对象是数据,反映客观问题的还是数据。高性能的数据采集卡使计算机的功能变得更加强大: (1)高精度 这是数据采集系统最基本的要求,错误的或者误差过大的数据没有任何使用价 第3页 共(37)页 USB数据采集卡的设计 值。但这个方面需要考虑的因素也最多,不但芯片的信噪比要高,对模拟电路的设计,PCB制版等也有很严格的要求,这是高速数据采集最困难的地方。 (2)高速度 科技越来越发达,时间的概念越来越小。也许你需要测量一个微粒的速度,也许你需要检测一个频率非常高的信号,你就一定需要一个速度足够高的采样器。 (3)解决思路 首先,实现USB2.0通讯协议接口,测试最高的数据传输率;其实实现高速AD采样电路,使其正常工作保证一定的精度。 2.3 国内外研究现状与发展趋势 (1)高速 随着科技的发展以及CPU的进步,计算机处理数据的速度越来越快,作为数 据出入途径的I/O接口一定不能成为瓶颈,所以计算机接口及数据总线的数据吞 吐量增长飞速。这一点在计算机主板南北桥之间通信总线的更替就很明显地体现 出来:先前的PCI总线只有133MB/s的数据传输率,而最近出现的8X V-link总 线则达到533MB/s. (2)串行 通讯速率的提高使得并行接口和连接线不堪重负,并行信号的串扰成了提升 速度的桎梏,接口的通信频率也不能无线提升,而串口提升信号传输频率的潜力 却要大的多,于是形成一个很有趣的局面,就好像8条马路通过汽车还没有1条 快。最近出现的串行ATA硬盘实际上就是一个预兆,如果并行的串扰不能得到有 效解决,将来高速数据传输的主流可能就会是串行通信了。 (3)通用,可扩展 计算机功能越来越强大,外设也越来越多。串口,并口,IEEE 1394口,键 盘口,鼠标口,让人眼花缭乱。接口的通用性和可扩展性成为一种趋势。这样可 以使用户方便地使用多种外设又不至于被各种各样的接口搞的糊涂,还可以同时 第4页 共()页 选题背景 使用几个甚至十几个设备。 第5页 共(37)页 方案论证 3 方案论证 3.1 系统工作原理 通用串行总线适用于净USB外围设备连接到主机上,通过PCI总线与PC内部的系统总线连接,实现数据传送。同时USB又是一种通信协议,支持主系统与其外设之间的数据传送。USB器件支持热插拔,可以即插即用。USB1.1支持两种传输速度,既低速1.5Mbps和高速12Mbps,在USB2.0中其速度提高到40Mbps。USB具有四种传输方式,既控制方式(Control mode)、中断传输方式(Interrupt mode)、批量传输方式(Bulk mode)和等时传输方式(Iochronous mode)。 考虑到USB传输速度较高,如果用只实现USB接口的芯片外加普通控制器(如8051),其处理速度就会很慢而达不到USB传输的要求;如果采用高速微处理器(如DSP),虽然满足了USB传输速率,但成本较高。所以选择了TI公司内置USB接口的微控制器芯片TUSB3210,开发了具有USB接口的高速数据采集卡。 3.2 系统原理图 系统原理图如图1所示: 图,,,,,,, 第6页 共(37)页 USB数据采集卡的设计 3.3 系统设计基本思想 在图1中,前端的信号调理电路主要用于实现对模拟输入信号的调幅、滤波和增加输入阻抗的措施来获取预期有效信号一级保护后端AD转换芯片。模数转换电路采用TI公司的8位高速度模数转换器TLC5510。FPGA控制电路,主要用于实现对模数转换和CY7C68013(简称FX2)的控制以及对接受数据的缓存处理:SDRAM存储电路和配置电路主要完成采集到数据存储和存放FPGA的配置信息,上电时将程序加载到FPGA中。USB数据传输电路完成数据和控制信息的传输。上位机软件采用MFC编写,主要实现数据的显示和对设备的检测控制。电源及复位电路为整个系统提供工作电压和系统的初始化。FPGA控制ADC进行连续采样,并将数据送到FIFO数据缓存中,当采集到一定量的数据后,FX2采用SlaveFIFO方式将数据传送给PC端进行显示、控制等相关处理。 3.4 系统总体设计方案论证 在系统设计实现过程中 考虑过两种方案 区别就在于使用 DSP还是 FPGA连接USB 接口和控制 SDRAM 实现同步或异步数字接口 表1对两种实现做性能 比较如表1: 表1 FPAG方案和DSP+FPGA方案性能比较 FPGA方案 DPS+FPGA方案 SDRAM控制 Sdram控制器IP核 自带sdram控制器 同步/异步借口 同步/异步IP核 EMIF 成本 低 高 码率控制 状态机+内部可配置FIFO 中断+FPGA可配置FIFO 电源 USB供电 外部电源模块 本文实现了基于FPGA方案,对比DPS方案有如下缺点: 第7页 共(37)页 方案论证 , SDRAM控制器IP核的实现有一定难度。针对所选FPGA,使用Venilog开 发相应的SDRAM控制器代码,并生成相应状态机,完成数据调度过程。而在DSP 方案中,我们只需要关心行为级操作,即用C代码实现。 , 针对不同的数字接口,需要开发相应的接口模块。DSP本身除带有强大的 EMIF口之外,还带有PCI等数字接口,可以方便的挂接在相应的总线上,节省 开发周期。 对比DSP方案的优点: , 两种方案由于都使用USB接口技术,但由于DSP方案功耗比较大,所以需 要使用额外的电源模块,这本身对USB接口的易用性照成一定的影响。 , 本系统为实现不同速率下的数据采集,需要使用同步(异步FIFO)做时钟同 步和码率调整。在DSP方案中,EMIF口虽然提供了很多方便的同步(异步)接 口,但需要配合额外的FPGA来实现单FPGA方案中的可配置FIFO的功能。从 电路角度讲,增加一个器件意味着增加功耗,以及增加一个故障节点。 通过以上对两种方案的比较,我们可以发现FPGA方案在功耗、资源利用率方面都达到了极大的优化。它的难点基于FPGA IP核的实现。在DPS方案中,可以看到,现在厂商为DSP提供了强大的支持,我们只要用C语言实现的代码去配置相关寄存器,以及调用相关的函数就可以完成正确的接口通讯。 3.5 USB芯片的选择与论证 方案一:采用CP2102为USB接口的芯片。PC2102是USB到UART的桥接电路完成USB数据和UART数据的转换,电路连接简单,数据传输可靠,把下拉位机串行数据转换成USB数据格式,方便实现数据通信,在上啦机上通过运行该芯片的驱动程序把USB数据可以按照简单的串口进行读写操作编程简单,操作灵活。缺点是虽然解决了接口协议问题,但是传输速率太慢,对以后产品的升级,大流量数据采集是一个障碍。 方案二:采用ISP1362为USB接口芯片。ISP1362是飞利浦公司退出的OTG解决方案系列中产品,它在单芯片上集成了一个OTG控制器、一个高级主控制器 第8页 共(37)页 USB数据采集卡的设计 (PSHC)和一个基于飞利浦ISP1181的外设控制器。ISP1362的OTG控制器完全兼容USB2.0及ON—The—Go Supplement 1.0协议,主机和设备控制器兼容USB2.0协议,并支持12Mbit/s的全速传输和1.5Mbit/s的低速传输。缺点是市场上产品采购较难,开发资料相对较少。 方案三:采用CY68013为USB芯片。Cpress Semiconductor 公司的EZ-USB FX2 是世界上第一款集成USB2.0的微处理器,它集成了USB2.0收发器、SIE(串行接口引擎)、增强的8051微控制器和可编程的外围接口。并提供非常全面的开发包。大大缩短了考法周期,而且技术相对成熟,开发使用者较多,资料非常全面,市场上的价格同人民币为18元,性价比极高。 对于系统的要求和开发周期(毕业设计的课题)、难易程度考虑,选贼方案3较为合适,而方案3的CY7C68013有56引脚、100引脚和128引脚的3种封装。对于功能的实现,56引脚的封装已经可以瞒足系统的要求。所以系统选择56引脚的CY7C68013为USB接口芯片。 3.6 USB主控制芯片的方案论证 方案一:采用MCS-51系列单片机作为控制核心,其外围电路简、编程容易、仿真调试易于实现,运行速度、丰富的定时中断系统可以充分完全满足本设计的要求,而且市场货源充足、价格低廉,便于批量生产;缺点功能较少,处理能力较差,主频比较低。增加拓展功能需要外扩外围器件才能实现。对于以后的二次开发难度和成本都是很大的挑战。 方案二:采用PLC(可编程逻辑控制器)作为系统控制核心。驱动能力强,有很强的抗干扰能力,系统稳定能适合恶劣的工作环境;缺点是价格非常昂贵,它是针对于大功率的器件控制,不适合采集卡。 方案三:采用FPGA(现场可编辑们列阵)作为系统控制器。FPGA可以实现各种复杂的逻辑功能,规模大,集成度高,体积小,稳定性好,并且可利用EDA软件进行仿真和调试。FPGA采用并行工作方式,提高了系统的处理速度,常用于大规模实时性要求较高的系统。对于达到本系统的性能指标非常合适。 第9页 共(37)页 方案论证 方案四:采用DSP(digital singnal processor)作为系统控制器。DSP是一种独特的微处理器,有自己的完成指令系统,是以数字信号来处理大量信息的器件。它运算能力很强,速度很快,体积很小,而且采用软件编程具有高度的灵活性。用于本系统不能很好的发挥它的特性。而与通用未处理相比,DSP芯片的其他通用功能相对较弱些。 本设计要求语音信号的完整采集,在芯片内部要求音频数据的串并转换送到CY7C68013数据线,再传到PC上。对于整个系统以后的二次开发,以及笔者对芯片的熟悉程度和掌握的知识来考虑,选择方案三为主控制单元最为合理。 第10页 共(37)页 过程论述 4 过程论述 4.1 系统硬件总体设计 4.1.1 系统分析 本系统是一个FPGA为核心组成的数据采集系统,由于常用反而弱信号为-0.5V~+0.5V,因此,信号的分辨率选择8位即可满足要求,测量当前模拟心啊好的值并显示和存储测量的数据值。具体电路包括:以ADC为核心的前端数据采集电路、以FPGA为核心的系统控制以及FX2为核心的USB接口。系统硬件框如图3 系统电源及 复位电路部 分 以FPGA为前端数据采USB数据传 核心的控制集电路 输接口电路 电路 图2 系统硬件电路框图 主要功能介绍: 前端数据采集电路:主要是实现信号的调理以及模数转换,设计中主要考虑一下几个方面的问题: , 完成一次转换所需的时间,所需系统精度(线性度、相对精度、放大); , 模拟信号的隔离,以及抗干扰问题的解决; , 模拟信号输入范围、被采集信号的分辨率; 第11页 共(37)页 USB数据采集卡的设计 , 模拟信号传输所需的通道数,多路通道切换率是多少; FPGA控制电路:该电路是系统的核心电路,主要是完成系统的总体控制功能,即:实现对前面数据电路的控制和数据的缓存,同时还要完成对USB接口电路的控制和数据的传输。 USB接口控制电路:该电路是数据传输电路,主要是完成FPGA和PC机的数据传输和信息交换。 4.1.2 系统技术指标 本设计以下列技术指标来完成系统的硬件设计。 , 数据采集通道为单通道,被采集信号的分辨率为8位; 模拟信号输入范围为-0.5V~+0.5交流信号; , , 电压增益为2,线性度为1.953%,相对精度2%; , FPGA选择外界有源晶振为25Mhz,通过FPGA内部PLL生成系统所需时钟, FPGA 逻辑设计所需时钟为30Mhz和40Mhz; , 嵌有USB2.0的芯片,选择为FX2,要求外界晶振为24Mhz; , 固件下载驱动MyUSBLiader.sys,功能设备驱动程序CyUSB.sys; , 系统所有电源为+5V、3.3V和1.5V,-5V;供电方式为USB接口供电和外接 电源2种,供电电压为+5.0V。 4.2 采集电路设计 4.2.1 芯片选择 由于目前绝大部分厂家的FPGA不含ADC变换器,尾巴模拟量转换为数字量,西药通过外部扩展ADC变换器实现对模拟信号的采集和处理。 根据系统性能要求综合考虑提供的技术资料、价格等因素,本设计选择TI公司的模数转换器TLC5510芯片作为采集电路的核心器件。TLC5510是美国德州仪器(TI) 第12页 共(37)页 过程论述 公司8位半闪速架构A/D转换器,采用CMOS工艺,大大减少比较器数,而且在高速转换的同时能够保持较低的功耗。TLC55 10工作电压为5V,功耗为130mw(典型值),最大20Mhz的采样率,内置采样保持电路,可简化外围电路设计。TLC55 10具有高阻抗并行接口和内部基准电阻,模拟输入范围为0.6V~2.6V。LC5510采用24引脚的贴片封装,其引脚配置如图4所示,各引脚功能描述如下: , AGND:模拟信号地; , A IN:模拟信号输入端; , CLK:时钟输入端; , DGND:数字信号地: 图3 TLC5510引脚图 , D1-D8:数据输出端,D1为数据低位,D8为数据高位; , OE:输出使能端。OE为低电平时数据端有效,否则数据端为高阻态; , VDDA:模拟电路工作电压; , VDDD:数字电路工作电压; , REFTS:内部参考电压。当内部分压器输出额定2V基准电压时,该端短路至REFT; , REFT:参考电压(T代表Top为2(6V); 第13页 共(37)页 USB数据采集卡的设计 , REFB:参考电压(B代表Bottom为0(6V): , REFBS:参考电压。当内部分压器产生2V的额定基准电压时,该端短路至REFB。 4.2.2 数模转换电路设计 TLC5510的基准电源有有多种接法TLC5510的基准电源有多种接法,根据不同场合选择适当的基准电源,为充分利用2V的满量程,本设计利用内部基准源,由于内含采样和保持电路,具有高阻抗方式的并行接口,从而简化了电路设计。本设计采用2V基准电源,产生2V电压量程的连接图如图4所示。其中,VDDA引脚接模拟+5(0V,AGND引脚接模拟地,REFTS和REFT短接,REFB和REFBS短接,REFTS和REFT为参考电压高电平组,REFB和REFBS为参考电压低电平组。 图5 产生2V电压两成的连接图 图,, 根据上图中的连接,可以计算 出电压两成范围,计算公式为: REFB= REFT= 所以模数转换器的信号输入测量范围为0.6V~2.6V。 本设计的TLC5510应用电路如图3—5所示。由于TLC55 10其测量范围为0.6V~2.6V,即:TLC5510在转换时模拟输入0.6V时对应数字输出0000_0000,2.6V 第14页 共(37)页 过程论述 对应的数字输出1111_111l,因此输入信号在进入TLC5510之前要对其处理,要使该输入信号处于量程之内,应加入一个1.6V的直流分量。在AD转换器的电路中,TLC5510的时钟信号CLK由FPGA发出,当FPGA发出使能OE为低电平信号时,则将允许转换的8位数字信号AD[7:0]输出。 图6 TLC5510应用电路 此外,在电路中,模拟电源VDDA和数字电源VDDD相互独立。模拟电源VDDA与模拟地AGND之间以及数字电源VDDD与数字地DGND之间常用电容和铁氧磁环去耦和消除电源的纹波。AGND与DGND分开,常用0欧姆电阻或磁珠连接一起,以避免数字信号给模拟信号带来噪声。图6中的FB6~FB8为高频磁珠,模拟供电电压VDDA经FB6~FB8为三部分模拟电路提供工作电压,以获得更好的高频退耦效果。 4.3 USB接口电路设计 4.3.1 芯片选择及芯片介绍 数据传输的快慢和整个采集系统运行的速度很大程度上取决于硬件接口电路的设计,因此,选择一款满足系统要求的硬件接口芯片充分发挥其速度优势则显得尤为重要。此外,开发的难易程度、开发工具的熟悉程度、成本、资源利用率也是影响芯片选择的重要因素。由于FX2芯片内嵌USB2.0协议和增强型8051内核,口设计简单、引脚56个、价格低等特点,符合系统的总体需求。同时,固件开发工具为Keil C51,Cypress公司为用户提供了开发包工具,这都为用户的开发带来极大的方便。因此, 第15页 共(37)页 USB数据采集卡的设计 本设计选用该芯片作为USB接口芯片。FX2是第一个包含USB2(0的集成微控制器,它内部集成了1个增强型的8051、1个智能USB串行接口引擎、1个USB数据收发 16位地址线、8.5KB RAM和4KB FIFO等。增强性8051内核器、3个8位I/O口、 完全与标准8051兼容,又有诸多的改进,最高工作频率为48MHz,一个指令只需4个时钟周期,而性能可达到标准8051的三倍以上。而内部集成的USB2.0的SIE能完成大部分USB2.0协议的处理工作,从而极大地减少了用户对繁杂的USB协议处理。另外,用户在开发时,可以利用GPIF或FIFO等方式实现与高速外围设备的逻辑无缝连接和高速数据传输。该芯片虽然是针对USB2.0的,但是和USB1.1是兼容的,它仅支持两种传输速率:全速12Mbps和高速48Mbps,不支持低速1.5Mbps。 4.3.2 芯片接口模式端点缓冲配置 接口模式: FX2有PORTS、Slave FIFO和GPIF三种接口方式: , PORTS接口模式实际上就是普通的IO端口模式,作为通用的输入输出接口, FX2在上电复位后,I/O引脚就配置在该模式下,这里不再介绍。 , Slave FIFO是从机方式,即FX2的CPU不直接参与USB数据处理,而是简单地 把FX2作为USB和外部数据处理逻辑(例如:MCU、ASIC、DSP和FPGA等)之 间的通道,数据流并不经过CPU,而是通过FX2的FIFO直接传输。FIFO由外部 主机控制,同时,FIFO提供所需的时序信号、握手信号(满、空等)和输出使能等。 图7和图8分别为该模式下的接口引脚和异步,同步时序图。 , 可编程接口GPIF是主机方式,配置该模式下,GPIF作为内部主机控制端点FIFO, 其core是一个可编程状态机,可以生成多达6个控制输出信号和9个地址输出信 号,能外接6个外部Ready输入信号和2个内部Ready输入信号。用户可以使用 GPIF Designer开发工具,生成自定义波形文件,通过用户自定义的波形描述符来 控制状态机,使用软件编程读写控制波形,几乎可以对任何8/16 hit接口的控制器、 存储器和总线进行数据的主动读写,非常灵活。 本设计采用Slave FIFO模式,外部控制器为FPGA控制器,FX2为FPGA 第16页 共(37)页 过程论述 提供了FIFO的空满或自定义状态和频率控制字;FPGA对FX2的控制则通过下 列控制信号实现:端点选择FIFOADD[1:0]信号、写使能信号、片选信号CS#,同 时,还有数据线FD[15:0]或FD[7:0]。同时在该从模式下,FX2的FIFO能够自动 被配置为从USB或向USB提交包,对于烈端点,AUTO IN模式允许外部逻辑将 数据连续传输到FIFO中,而不需要FX2固件程序干预,较好地解决了USB高速 模式的带宽问题。 图7 FX2 Slave FIFO 模式下引脚连接图 图8 异步和同步模式下的时序图 4.3.3 电路设计 以FX2为核心的数据传输电路,主要包括以下部分:与FPGA相连接的接口电路、7段数码管显示电路、复位电路和USB电源电路。其中:接口电路主要完成与FPGA的数据通信;显示电路主要是为了调试电路和调试程序所用,电源电路主要为电路提供工作电压。电源及复位电路将在后面的电源及复位设计中介绍。 第17页 共(37)页 USB数据采集卡的设计 1. FX2与FPGA接口电路 FX2与FPGA的连接主要完成数据传输通信和信号的控制,如图9所示。用到的主要接口如下: , 数据线FD[15:0]\FD[7:0]为双向数据线,由USB固件程序选择是8位还是16位数 据线,本设计选择FD[7:0]位; , 片选线SLCS#,是FPGA选择端点6FIFO,低电平有效; , 端点选择接口FIFO_address[1:0],主要用于选择与FD相连的数据接受端点缓冲 区。本设计为端点6,FIFO_address[1:0]为10; , LWR信号线,主要用于FPGA对端点进行写操作的写使能信号,在固件中把它设 置为下降沿有效; , FLAGB\FLAGC为端点FIFO的空满标志,FLAGB代表“满”,FLAGC代表“空;” , TART/STOP控制信号,信号高电平时允许数据开始发送,低电平为停止数据发送; , Freq_control[2:0]为频率控制系数,共8个频率系数,由上位机发出。 图9 USB与FPGA的连接图 2. FX2特殊引脚电路图 2在USB设备中需要对FX2中的一些引脚处理。对于FX2中的IC接口,由于SCL和SDA两个引脚都是漏极开路输出,所以不管是否接EEPROM,都要接一个2.2K的上拉电阻,防止烧毁器件。对于保留引脚RESERVED,需要提供一个恒定的低电平,接一个下拉电阻到地。对于WAKEUP引脚,提供高电平,通过上拉电阻接 第18页 共(37)页 过程论述 至3.3V。电路图如图10。 特殊引脚电路图 图10 4.4 FPGA电路设计 本设计选取FPGA作为本采集系统的核心控制器,完成的主要电路设计如下:1.电源及复位电路设计,2.下载电路设计;3.与SDRAM和FLASH互连电路设计及I/O扩展口。 4.4.1 FPGA下载电路 FPGA有两种下载模式,分别为JTAG模式和AS模式。两者的区别在于:JTAG模式是通过下载电缆将硬件描述语言代码文件(*.sof下载到SRAM中,断电无效,主要用于芯片内部测试和电路故障检测。而AS模式是将(*.sof文件)下载到FLASH中,每次上电后将数据复制到SRAM中进行工作,即使断电,程序也不会丢失。其中AS模式存储FPGA配置信息,选用了EPCSl系列,8引脚SOIC封装,3.3V电源供电,1Mbit容量,可重复擦除的FLASH 。图11-a为JTAG模式和图11-b为AS模式。表2和表3分别为AS模式和JTAG模式的配置引脚说明。 第19页 共(37)页 USB数据采集卡的设计 图11-a JTAG模式的电路 图11-b AS模式 表4 AS 模式下的配置引脚说明 表5 JTAG模式下的配置引脚说明 4.4.2 时钟电路 由于FPGA工作在3.3V电压下,所以采用3.3V,25Mhz有源晶振,图12为时钟电路原理图。FPGA通过内部PLL产生所需时钟。L2的作用是隔离数字与模拟信 第20页 共(37)页 过程论述 号,降低数字、模拟信号间的相互干扰,滤除电源噪声对晶振输出时钟信号的影响。时钟输出串联一个小阻值的电阻R40进行限流,防止过冲。 图12 时钟电路原理图 图13 采样频率分频模块 图13为采样频率分频模块,其中elk为PLL产生40Mhz的时钟,根据分频系数产生20Mhz、10Mhz等共8个频率值,这频率值通过clk_out输出到AD逻辑控制器的clk,作为AD的采样频率。其中分频系数有上位机通过USB设备传送至FPGA。 4.5 系统电源及复位电路设计 由于主机对能够与其直接相连的USB设备提供+5.0V的电源。因此,本设计设计了2种供电方式:外接+5.0V直流电源和主机USB接口供电。两者之间通过跳针J3切换。前种方式主要用于电路中有较多功耗时,这是因为主机USB接口提供的电流最多为500mA。由于本设计系统中FX2的工作电压为3.3V,而FPGA控制器的内部逻辑电路和外部I/O口分别需要1.5V和3.3V直流电源的支持,前端数据采集电路中的THS3001需要+5.0V和-5.0V的工作电压,TLC5510需要5.0V的模拟电源和数字电源。因此,需要将模拟5.0V电压转换为3.3V、1.5V、-0.5V以及数字+5.0V电源。由于直流电压一般是由交流电源经整流稳压等环节而形成的,这就不可避免地在 第21页 共(37)页 USB数据采集卡的设计 直流中带有一些交流的成分,从而产生了波纹,为消除波纹,通常在电压和地之间连接上0.1uf和10uf的电容,在这里是利用理想电容的频率特性:随着频率的升高,其阻抗也逐渐降低。本设计选用AMSlll7-1.5和AMSlll7-3.3低压降线性稳定器(LDO)作为电压转换器获得3.3V和1.5V的工作电压。AMSlll7电源转换芯片使用简单,具备短路和过热保护措施,当内部传感器的温度超过165?时,过热保护电路会关断稳压器。与普通的电源稳压芯片相比,AMSlll7具有更低的功耗和更好的温度稳定性。1.5V电源转换电路和电源指示电路灯如图14所示,3.3V电源转换电路 图 14 AMS1117-1.5V电压转换电路和电源指示电路 对于+5.0V数字和模拟电源,可将滤波后的模拟电源通过磁珠隔离出数字电源。对于-5.0V电源,则需将+5.0V电源反相得到。本设计选用MAXIM公司的ICL7660电源反相器得到-5V电压。电路设计如图15所示。对于系统的复位电路,由于FX2上电后,其内部锁相环电路需要200us的时间才能稳定,因此在复位管脚上连接一个RC电路,在电源端连接一个10K欧姆的电阻,接地端连接一个10uF的电容,以提供有效的复位信号,其电路如图16所示。 第22页 共(37)页 过程论述 图15 5V数字电源和-5V电源转换电路 图16 系统复位电路 4.6 抗干扰和PCB制作 随着数字电路的大量应用,数字电路在整个系统中所占的比例也不断提高,但是数字电路仍然离不开模拟电路,特别在采集系统中,信息采集的对象仍然是模拟量。因此在整个系统,模拟电路和数字电路共同存在,必将产生干扰。同时,由于电路设计的频率越来越高,高频干扰、电磁干扰等也随之产生。为了增强统可靠性,必须对这些干扰进行处理。主要从以下2个方面解决: 1、电路设计方面:在器件的电源脚附近,会存在电流噪声,通常使用滤波旁路 第23页 共(37)页 USB数据采集卡的设计 电容或磁环来滤除,多采用瓷片电容与胆电容并联方式,进行旁路、滤波。另外,数字信号与模拟信号混合设计当中,数字信号通常会对模拟信号造成较强的干扰,常采用的解决办法是:对电源而言,用电感或磁珠将电源隔离开来;对于接地,开始时就用统一地。最后再通过接0欧姆电阻或磁珠,共地处理。 2、PCB布线方面:将PCB分区为模拟部分和数字部分,模拟信号在电路板所有层的模拟区内布线,而数字信号在数字区内布线。晶振或晶体应尽量靠近USB芯片的时钟输入脚,时钟线不能跨越USB2.0的差分线,晶振下不要布置任何信号线,并且在时钟线周围应覆有完整的信号地,以降低时钟线对其他信号线的干扰,特别是对差分线的干扰。在绘制USB芯片与其他芯片相连的数据线时,应保证线间距不小于8mil。各元器件摆放尽量紧凑,使元器件之间的数据走线的距离尽量缩短,数据线走线长度宽度尽可能相同、平行。另外,在电路板的双面都覆铜,并且分别与模拟地线和数字地线相连接。这样就使得电路板上的地线电阻较小,所以整个电路板上的模拟地线之间的电势差就很小,整个电路板就有相对稳定的零电平。 4.7 固件设计 所谓固件程序就是指固化在单片机中且能够运行的程序,系统中的单片机是指FX2芯片,本设计的固件程序主要功能就是辅助硬件系统工作,完成对设备的初始化工作、处理应用程序和驱动程序发送的各种命令和数据交换。固件的初始化功能:硬件系统上电后,所有的寄存器配置都恢复到出厂时的状态,为了完成实际设备系统所需要的功能,必须对各种寄存器的初始值和设备所需要的设备属性进行初始化设置。本系统要对如下的属性配置进行设置:FX2的内核工作频率,PIO口设置,端点使能、端点选择、工作方式,端点的标志位极性设置等。固件的响应设备请求功能:响应设备请求功能就是辅助硬件设备完成特定的功能,主要包括完成设备的枚举和重枚举功能,其中包括模拟设备的断开与重新连接;对接收到的设置包进行分析判断,从而对主机的设备请求做出适当的响应;完成主机对设备的配置任务;对中断的处理;数据的接收和发送;外围电路的控制。通过固件程序的调度,完成端点与USB主机之间的数据传输。为加快USB外设固件程序的开发,Cypress公司为使用FX2芯片的用户提供了一个完整的固件开发框架,用户只需在框架的基础上提供一个USB描述符表,添加其他端点接收和发送数据的通信代码,以及控制外围电路的程序代码,即可 第24页 共(37)页 过程论述 完成固件的开发。固件程序的编译和调试环境是Keil公司的C51编译器,集成开发环境为uVision2,同时利用Cypress公司提供的控制面板CyConsole参与调试。固件 程序框架:Cypress公司提供的固件开发框架里主要有如下函数: Fw.c:该函数是整个固件函数的主函数,也是固件运行的主程序源代码文件,管理整个8051内核的运行,负责处理各种USB设备的请求。 Periph.c(该函数主要负责系统周边器件的互联,用户函数挂钩的相关定义。固件的设计主要针对这个文件,用户必须根据自己系统的需要,来实例化这个文件,以实现自己特定的功能。在这个文件中有2个函数是比较关键的,一个是TD Init(Void),该函数在框架初始化期间被调用,用来完成初始化用户的全局状态变量,设置整体全局变量的初始值。另一个是TD Poll(Void),该函数包含一个可执行外设功能的状态机,对各个端点的状态进行查询。例如:空、满状态,以及处理各种OUT或矾端点的交互。它在设备工作期间被重复调用。 框架函数中还包括头文件TestRegs.h,其定义了EZ-USB FX2的各种功能寄存器地址及其控制位掩码常量;TestHeader.h定义了通用常量、数据类型、宏、全局变量声明;Testdly.h定义了FX2某些寄存器所需的同步延时宏;Dscrpt.a51定义了系统所使用的各种USB描述符;USBJmPTh.obj文件定义了FX2中的INT2和INT4中断的跳转表。 针对本系统固件程序设计,由于采用Slave FIFO模式下进行数据高速传输,因此,配置数据接收端点为Slave FIFO模式,CPU不再参与数据的传输,端点FIFO在接收到FPGA控制器传输AD转换的数字信号后,等待PC读取,USB芯片只作为一个数据传输通道。由于FX2的EP2、EP4、EP6、EP8这四个端点共享4K FIFO缓冲区,在该系统中,将EP6配置成块传输,大小为1024B,2倍缓冲,8位工作模式,并设置为AUTO IN模式,端点2、4、8处于无效状态,而用EP0作为控制参数传递,如启动和停止AD数据输出、频率控制字及复位FIFO等。 FPGA对FX2中FIFO数据传输的控制作用。其控制过程如下:当PA(6为高时,FPGA启动AD转换,当AD通道的FIFO“满”且EP6端点“空”时,FPGA使能SLWR引脚,将AD通道FIFO中的数据送至EP6端点缓冲区,当AD通道的FIFO 第25页 共(37)页 USB数据采集卡的设计 “满"且EP6端点“满”时,或者AD通道的FIFO“空”且EP6端点“满”时,FPGA将停止SLWR信号的跳变,此时SLWR为一个恒定的电平,不能将数据打入到端点缓冲区。 在编译调试通过后,固件程序需要下载到芯片中或者EEPROM中(24C08)。下载方式有2种,一种通过编程器烧写到EEPROM中,另一种把固件存放在驱动程序中,当USB设备接入到PC时,固件就自动下载到FX2中。本设计采用第二种方法。 的固件程序流程图如下图所示,通过流程图计的固件程实现了简单的合作本设计 任务: 上电复位 初始化状态变量 调用TD_Inti()使用中断功 能 是否收到SETUP包 延迟1s,并重枚举 N Y 调用TD_poll() Y 是否收到SETUP包 分析命令执行设备请求 N 第26页 共(37)页 设置USB总线处于空 是否收到USB总闲状态是否有效 线总处于空闲 状态, 过程论述 Y N Y N 图 17 固件框架流程图 第27页 共(37)页 USB数据采集卡的设计 5 结果分析与展望 系统调试是系统设计后的重要环节,首先要查阅相关资料,对系统的硬件信息有较多的了解,同时,需要了解系统有那些调试接口。要熟悉调试环境,能熟练地使用调试工具。 5.1 系统硬件调试 在焊接好PCB板后,需要对电路进行硬件调试,以保证电路无误和正确运行。 5.1.1 硬件静态调试 硬件静态调试就是在上电前,对照原理图,尽可能对电路中的短路、虚焊故障进行排除。首先用万用表测量各电源输入与地之间,以及不同电压之间是否短路,如发现短路现象,就近原则对电路进行查找原因并排除。在本设计中的USB模块和FPGA模块中均出现短路断路现象,同时在测量时,也出现一个虚假的短路现象就是0欧姆电阻和电感。在3个模块中都出现过集成模块和有源晶振引脚的虚焊和连焊的现象。 5.1.2 硬件动态测试 硬件动态测试就是在硬件静态测试无误后,对电路上电,再次对电路的故障进行排除。在该测试中,主要测试电源的稳定性和电压值是否达到要求,磁珠和电源芯片是否发烫,电源指示灯是否亮。在本设计的动态调试中,把USB接口接到主机的USB接口上后,经用万用表测量,主机已经为USB设备提供了4.9V 的电源,主机提供的电源电压并不是标称的+5.0V,对于3.3V电源芯片转换后的电压值为3.2V。 而对于FPGA板子上3.3V电源芯片转换后的电压值为3.31V,1.5V电源转换芯片转换后的电压值出现异常,其值为3.89V,经测试查明:AMSlll7-1.5并不是可调的,而是直接就转换为1.5V,因此把输出电压可调电阻R44、R43去掉,1脚接地即可,测得值为1.49V。 AD采集板为单独供电,测得外接输入电压值为4.87,为7660器件提供的模拟电压测得是4.86V,经反相后输出的负电压为-4.19V。上述电压值均符合电路各个芯 第28页 共(37)页 结果分析与展望 片所要求的电压值范围之内,系统仍能正常工作。在上述静电和动态两方面调试无误后,再对各个模块进行具体调试分析。 5.1.3 USB数据传输电路调试 在排除静态和动态错误之后,对USB芯片FX2的工作状态进行测试。根据芯片资料说明,芯片在上电后,内部寄存器和管脚状态都复位到芯片出厂时的状态,P10管脚配置为“端口”模式,CLKOUT引脚输出标准的12Mhz波形信号,FX2引擎能够完成第一次自举。 产生不能识别的主要原因有以下两种: 1. USB设备本身就没有工作,表现在晶振没有启振或者芯片己坏; 2. USB传输线中两条数据线-D+D(走线出错。即:走线过长、不等长、不平行, 在拐弯处出现直角,以及在走线时,线宽发生变化造成阻抗不匹配等。 通过用示波器观察CLKOUT引脚的波形,发现并没有12Mhz波形输出,通过测试晶振的波形为一些50mV杂波而不是24Mhz的正弦波。从而说明晶振没有启振,经测量发现晶振两脚电容有误,电容值过大,撤换改为22pf的电容后,通过USB电缆接到主机,此时,主机弹出发现新硬件和安装驱动的提示,通过查看该设备的属性发现,其PID和VII)的值分别为0484和8613,测量CLKOUT引脚的输出波形为12Mhz的正弦波。至此,USB设备硬件部分调试成功。 5.1.4 前端数据采集电路调试 采集电路的调试主要是:通过电位器POT1调整1.6V直流偏置信号、检验THS3001放大增益,AD参考电压、AD转换数据输出以及去噪声,时钟输入CLK。 在调试过程中,出现上电后7660器件处发出轻微的声响,断电后,再上电仍出现声响现象,经查明属于钽电容问题,更换钽电容后声响消失。关于AD数据输出,在没有输入输出使能OE和转换时钟CLK的情况下,8位并行输出的电压值是一个不确定的数值。关于时钟输入,根据TLC5510芯片资料上说明,TLC5510的IO输入最小电压值为4V,但经测试,FPGA输出3.31V时钟信号能够驱动AD转换的CLK时 第29页 共(37)页 USB数据采集卡的设计 钟引脚,并能进行模数转换,本设计的数据转换时钟信号是由FPGA发出直接连接到AD的CLK引脚,没有进行电平转换。 关于调整直流偏置、THS3001放大增益及AD参考电压的调试。通过调整POT1,直到测得THS3001运放的信号输入端电压值为0.8V时,然后测得输出的电压值为1.6V,当信号输入端的电压值为0.79V时,测得输出的电压值为1.58V,(经多次测试,结果表明THS3001运放的放大增益为2。利用万用表测得AD的参考电压REFB为0.58V,REFT为2.53V,并没有达到0.6V~2.6V,这是由于输入电压为4.87V,没有达到5.0V,但在理论上,此时的REFT电压值应为5.54V与实际测得的值2.53V有0.01V的误差,此误差应为系统误差。在没有输入信号的情况下,通过电位器调整1.6V的直流分量和0.81V,2.6V之间的其他直流分量,测AD8位并行输出的电平。根据8位输出电平计算所对应的电压值,并与AD输入的实际电压值做比较计算出AD器件的实际线性误差。 5.2 系统软件调试 5.2.1 USB固件程序调试 固件主要辅助完成USB外围设备工作,完成对设备的初始化工作、处理客户端应用程序和驱动程序发送的各种命令和数据交换。本设计的固件程序是在固件框架程序的基础上编写的。因此,需要对固件框架函数进行研究和分析。固件的调试主要对固件的初始化程序、USB数据通信和标准的USB请求进行调试。由于本设计没有UART串口,不能在线调试,调试手段采用Cypress公司的cyconsole控制面板。USB设备上的7段数码管和复位键也参与调试,主要是便于观察调试现象。具体调试固件程序主要对设计到端点6的各种寄存器的配置、时钟频率选择和来源、PA引脚的输出控制、PD引脚的输出控制、PID/VID和其他标准USB请求的配置,自定义USB请求。对Slave FIFO模式下数据通信的调试,需要设置端点6 FIFO的触发条件和引脚接口极性,本设计设置为高电平有效和异步传输,写使能信号为下降沿有效,同时需要外部控制器FPGA配合调试。 第30页 共(37)页 结果分析与展望 5.2.2 FPGA逻辑调试 FPGA的逻辑调试主要针对逻辑的时序性进行调试,由于逻辑设计以及ADC和USB组件的设计都不涉及到数据的具体变换或处理,只是完成把数据的接收和传出。对于ADC调试,主要完成在输出时钟的下降沿采样数据,然后把输出时钟反相得到FPGA接收ADC输出数据的同步时钟,也作为写FIFO的同步时钟信号。 USB逻辑设计主要解决在SLWR的下降沿到来之前把FIFO读出的数据发送到数据总线上,在下降沿到来时把数据打入端点6 FIFO。同时要检测端点6 FIFO的空、满标志,以便对FPGA中的FIFO进行读写控制,防止出现FIFO死锁或先前数据被覆盖的现象。 5.2.3 应用程序调试 应用程序是系统与用户的接口,其通过通用驱动程序来完成对外设的控制和通信,是为了满足使用USB设备用户的各种功能要求。对应用程序的调试主要针对应用程序中的采集通道、电压量程及采样频率等参数初始化,建立和断开USB设备连接,取得端点通道的地址,设置开始和停止数据传输请求,查看USB设备的各种准备描述符,创建绘图区,绘制波形图。 5.3 系统整体测试 在硬件电路和软件设计调试成功后,进入整个系统的联调。系统联调是为了验证整个系统设计是否符合设计要求,系统功能是否实现。首先搭建好系统硬件平台,然后装载固件驱动程序和功能驱动程序,通过JTAG下载线把FPGA逻辑设计程序下载到FPGA中,运行客户端应用程序。 5.4 总结 将FPGA与USB技术相结合,应用于数据采集和处理领域是本设计的一个特点,它使系统具有易扩展、低成本、易插拔、易更新升级的特点: 本文在研究FPGA的同时,结合USB2.0总线技术,设计了基于USB和FPGA 第31页 共(37)页 USB数据采集卡的设计 的高速数据采集系统,并给出了通用的USB设备开发的方法。本文主要工作分为设备端硬件设计、设备端固件设计以及终端软件设计三个部分,具体如下: (1)对系统硬件的设计。包括信号调理模数转换电路设计、FPGA控制器的设计以及USB2.0电路的设计,并制作了相应的PCB和ByteBlasterlI下载线PCB。 (2)分析了基于FPGA的逻辑设计方法,给出了FPGA的采集系统设计。 (3)简要地论述了WDM设备驱动程序模型和架构以及开发USB设备驱动程序的方法。分析了USB设备驱动程序的层次结构以及功能,构造出USB设备驱动程序的框架。介绍了在通用程序基础上用WindriVer、VC++以及WinXPDDK开发工具开发USB驱动程序。 (4)分析了CYPRESS提供的固件程序开发框架,在此基础之上利用C51语言开发了数据采集系统USB2.0接口设备固件程序,实现了在Slave FIFO模式下的批量传输。 (5)利用MFC编写了应用程序和在Slave FIFO模式下的批量传输的速率测试应用程序,并给出测试分析结果。 (6)利用函数发生器、示波器和万用表对系统硬件进行了调试,给出了调试结果,并对出现的问题进行了分析。 综上所述,本论文己经完成了基于USB和FPGA数据采集系统的基本开发步骤,从硬件的原理图、PCB设计到设备固件程序开发,到设备的功能驱动程序接口。 5.5 展望 相对于ADC已经达到得相当高的转换速率,高速数据传输和处理的发展则显得较为缓慢。但随着集成电路设计和制造工艺以及材料学的快速发展,FPGA将会向低功耗、低成本、低电压、高密度、集成化方向发展,各FPGA生产商将会提供更多的P核库以供用户使用。同时,由于Altera公司提供的NIOSII嵌入处理器软核免费的,且占用较少的逻辑资源,所以也是现今最广泛许可使用的嵌入处理器。NIOSII嵌入处理器是用户可配置的通用RISC CPU,它结合丰富的外设、专用指令、硬件加速单元、Avalon总线、容易地与用户逻辑结合的特点,提供片上可编程系统(SOPC)解决 第32页 共(37)页 结果分析与展望 方案。SOPC系统可以使用免费软件QuartusII自带的SOPC Builder开发工具进行构建和编译,然后通过QuartusII把工程文件下载至IJFPGA里面,其设计目地就是低成本地提供极度灵活和功能强大的处理器解决方案。因此,FPGA技术将会越来越被广泛地应用于各个领域中。另一方面,USB的出现和不断地发展及其自身的优点又不断地促进了USB在数据传输这一领域的应用。目前在市场上,UBS接口己经成为计算机的标准接口,特别是在USB2(0协议出现之后,新配置的PC机都支持USB,基于USB接口的新产品层出不穷。因此,随着技术的发展,USB3.0接口设备不久将会出现,USB2.0及更高版本将会是人们追求的目标,其传输速率还会进一步提高,USB的应用范围也会越来越广泛。 综上所述,设计出更高速、更可靠、更精确以及具有实时性的数据采集卡将是未来的研究趋势。 第33页 共(37)页 USB数据采集卡的设计 参考文献 [1] 王俊雄(基于FPGA及NIOS的嵌入式系统应用研究[D](西南交通大学(2006( [2] 刘明章主编(基于FPGA的嵌入式系统设计[M](北京:国防工业出版社,2007.9( [3] 王钿,卓兴旺编著(基于Vefilog的数字系统应用设计[M](北京:国防工业出版社,2006.1. [4] EPlC6Q240CS Datasheet(WVw.altera.com(2003.1( [5] 刘达(龚建荣(系统级可编程芯片(SOPC)设计思想与开发策略[J](现代电子技术(2002.11:P1,P4. [6] 吕蓉(碑核及其复用[J](微电子与基础产品(2001.8:P55,56 [7] 李兰英等编著(NioslI嵌入式软核SOPC设计原理及应用[M](北京:北京航空航天大学出版社,2006( [8] 杨春玲,张辉等(现代可编程逻辑器件及SOPC应用设计[M](哈尔滨:哈尔滨工业大学出版社,2005( [9] 潘松,黄继业,田毓编著(SOPC技术实用教程[N](北京:清华大学出版社,2005 [10] 徐宁仪,周祖成(AValon总线与SOPC系统架构实例[J](半导体技术第28卷第2期2005(2):P1 8-P20 [11] 李英伟,王成儒,练秋生,胡正平编著[M](USB2(0原理与工程开发(第2版)(北京:国防工业出版社,2007( [12] 薛园园编著(USB应用开发技术大全[M](北京:人民邮电出版社,2007( [13] TLC5510 Datasheet(Texas lnstruments Corporation [14] THS3001 Datasheet(Texas Instruments Corporation [15] 刘钊(变参差工作雷达数据采集系统[D](电子科技大学(2007( [16] 74LVC4245A DATASHEET WWW.ALLDATASHEET.COM 第34页 共(37)页 参考文献 [17] CY7C68013 Datasheet(Cypress Semieonduetor Corporation [18] 钱峰编著(EZ(USB FX2单片机原理、编程及应用[M](北京:北京航空航天大学出版社,2006( 第35页 共(37)页 USB数据采集卡的设计 致谢 本文的研究工作是在我的导师魏勇老师的精心指导和悉心关怀下完成的,从论文的选题、研究条件的提供到论文的撰写、修改、定稿,无不浸透着他的心血。在进入论文的撰写阶段更是得到魏老师大量指导和严格要求,让我论文的基础得以成型,而且还对初稿进行了认真的阅读、提出了原则性的修改意见。导师严谨的治学态度、渊博的知识、无私的奉献精神使我深受启迪。从尊敬的导师身上,我不仅学到了扎实、宽广的专业知识,也学到了做人的道理。在此我要向我的导师致以最真诚的敬意和衷心的感谢~ 在此,向所有关心和帮助过我的领导、老师、同学和朋友表示由衷的谢意~尤其要感谢我们宿舍的几位同学,大家在一起的学习工作中,互相帮助,彼此鼓励。非常留念在这里一起学习和生活的几年时间,感谢他们对我学习和生活上的关心与帮助~同时也非常珍惜彼此之间建立的真挚友谊。 最后要感谢我的家人,是他们多年来的培养、教育和关心才使我有了今天的成绩。 衷心地感谢在百忙之中评阅论文和参加答辩的各位专家、教授~ 第36页 共(37)页 USB数据采集卡的设计 附录 PCB实物图: 第37页 共(37)页 附录 EZ-USB2.0与FPGA的硬件连接电路 第38页 共(37)页 USB数据采集卡的设计 第39页 共(39)页
本文档为【USB数据采集卡毕业论文】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_713593
暂无简介~
格式:doc
大小:369KB
软件:Word
页数:0
分类:生活休闲
上传时间:2017-09-20
浏览量:17