首页 FPGA实现流水线结构的FFT处理器

FPGA实现流水线结构的FFT处理器

举报
开通vip

FPGA实现流水线结构的FFT处理器 2004年9月 重庆大学学报 Sep·2004 箜:::叁箜!:塑 12竺坠垡竺12竺墼!竺曼旦21::翌!坚 !竺!::!翌£:! 文章编号:1000一582X(2004)09—0033一04 FPGA实现流水线结构的F订处理器。 朱冰莲,刘学刚 (重庆太学通信工程学院,重庆400030) 摘要:针对高速实时信号处理的要求,介绍了用现场可编程逻辑阵列(n,GA)实现的一种流水线 结构的珊T处理器方案。该FFT处理器能够对信号进行实时频谱分析,最高工作频率达到75MHz。通 过对采样数据进行加窗处理...

FPGA实现流水线结构的FFT处理器
2004年9月 重庆大学学报 Sep·2004 箜:::叁箜!:塑 12竺坠垡竺12竺墼!竺曼旦21::翌!坚 !竺!::!翌£:! 文章编号:1000一582X(2004)09—0033一04 FPGA实现流水线结构的F订处理器。 朱冰莲,刘学刚 (重庆太学通信 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 学院,重庆400030) 摘要:针对高速实时信号处理的要求,介绍了用现场可编程逻辑阵列(n,GA)实现的一种流水线 结构的珊T处理器方案。该FFT处理器能够对信号进行实时频谱 分析 定性数据统计分析pdf销售业绩分析模板建筑结构震害分析销售进度分析表京东商城竞争战略分析 ,最高工作频率达到75MHz。通 过对采样数据进行加窗处理来减少了频谱泄漏产生的误差。为了提高FIi’I.工作频率和节省FPGA资 源。采用了由1024点复数m计算2048点实数肿的算法。此外还介绍了一种计算复数模值的近 似算法。 关键词:流水线;快速傅立叶变换;现场可编程逻辑阵列 中图分类号:TN911.6 文献标识码:A HT算法多种多样,按数据组合方式不同一般分为 按时间抽取和按频率抽取,按数据抽取方式的不同又可 分为基2,基4等。F蕾T的实现方法也多种多样,可以用 软件或硬件实现,也可以用软硬件结合的方式实现。用 软件实现计算速度很慢,一般用于离线处理,软、硬件结 合方式实现如用单片机或DsP实现在速度不高的情况 可以实现在线实时处理,但是在高速的场合仍然不能满 足要求【l】。针对快速信号处理的要求及1%A器件的 特点,提出了一种基于fH强实现的基2抽取流水线结 构的FFr算法。为了提高肿工作频率和节省融 资源,利用10拼点复数来计算2048点实数的肿。此 外为了减少频谱泄漏的影响,在肿运算前先对数据进 行了加窗处理。观察信号的频谱分布,通常需要对矸.r 运算后的复数数据进行取摸运算,但是硬件实现取模运 算非常困难。笔者提出了复数取模的一种近似算法,平 均误差不超过O.6%。 1 FFT处理器的FPGA 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 FFr处理器是在ALlERA公司的Qu8咖8系统中 开发的,选用基于查找表、乘积项、嵌入式存储器的多 核结构晦APEx20K系列器件。乘法器、双口m~M、 ROM通过调用库中模块实现,加法器、饱和处理运算、 复数求模运算、地址产生单元及其缓冲单元使用 VHDL语言编程实现。 1.1加窗处理运算 为了减少时域截断造成的频谱泄漏误差,最常用 的方法就是变换前对采样数据进行加窗处理运 算【2。‘】。用于信号处理的窗函数很多,工程上常用的 是矩形窗、汉宁窗、高斯窗、海明窗、布拉克曼窗等。由 图l可以看出,海明窗的主瓣宽度比较窄,旁瓣衰减在 40dB以上,而且窗函数的形状比较平坦,可以用比较 少的位数对窗函数数值进行量化。高斯窗的形状虽然 可以调节,但是它的主瓣衰减太慢。 笔者设计的FFT处理器是用来分析叠加有多个 窄带干扰的直接序列扩频通信系统中的信号,要求精 确给出每个窄带干扰的中心频率及其干扰强度的相对 大小,因此海明窗更适合本文中的信号处理要求。由 于窗函数的值是中心对称的,因此只需存储窗函数的 前肌个点,这样可以节省一半的ROM存储空间。海 明窗函数表达式如下: 埘(n)=o.54一o.46c08f—兰!坠1 、Ⅳ一l, n=0,l,⋯,Iv一1 (1) ·收稿日期:2003一09-:25 基金项目:重庆市科委应用基础研究基金资助项目(7964) 作者简介:朱冰莲(1959一),女,四川富顺人,重庆大学副救授,博士,主要从事信号处理及其应用的研究。 万方数据 重庆大学学报 2004卑 1.0 0.8 萋0.6 0.4 0.2 O 吾 荽 i 趸 500 1000 1500 2000 一 (a)时域 W 0.0l 0.02 0.03 O.04 (b)频域 图l 几种窗函数的实频波形比较 1.2流水线结构的FFT实现 1.2.1Ⅳ点复数F胛计算2J『\,点实数FFT的算法 首先把输入序列x(n),n=0,1,⋯,2N—l按奇偶 分组,生成2个新的序列x,(n)和X2(n): 茗l(,1)=戈(2,1)n=0,l,⋯,Ⅳ一l(2) 菇2(n)=菇(2n+1)n=0,l,⋯,Ⅳ一l(3) 在将省,(n)和菇:(n)组成一个复数序列y(n): ,,(n)=菇l(n)+豇2(n)(4) 根据DFT的性质容易导出‘51: y(||})=xl(蠡)+_『xj(J})七=0,l,⋯,Ⅳ一1(5) l x,(后)=÷[y(.|})+y‘(Ⅳ一詹)] ● 七=0,l,⋯,J7\,一l (6) 1 墨(矗)=毒[y(后)一y‘(Ⅳ一蠡)] 叫 尼=0,l,⋯,Ⅳ一l (7) x(||})=x。(后)+w:Ⅳ爿j(后) 后=0,l,⋯,Ⅳ一l (8) x(.|}+J7v)=x。(||})一俘:Ⅳ爿j(矗) _|}=0,l,⋯,Ⅳ一l (9) 可见计算出复数序列】,(七)后,通过一个蝶形运算可以 得到五(Jj})和置(Ij}),再经过一个蝶形运算即可得到 所求的x(|j})。该算法最大的优点是能够提高FFT处理 器的工作频率。因为此算法不直接的计算x(座),而是 先计算y(.|})再由l,(后)计算x(后),计算l,(.|})时每一 级蝶形运算的数量减少了一半,这样输入采样序列的 速率可以提高一倍,也即是FFT的工作频率提高了 一倍。 1.2.2FFT的FPGA实现框图 1024点复数FFT运算,按照基2频率抽取运算分 成10级,每级包括1个双口RAM,1个地址产生器,1个 旋转因子ROM表,1个蝶形运算单元,2个选择缓冲单 元,如图2所示。 围2每级FFlI运算框图 为了简化地址单元电路,将复数数据的实部虚部 组合成一个数据存储在RAM中。由于使用双口RAM, 当一个存储单元中的数据读出做运算时,该存储单元 就能够存储上一级来的数据,因此这种结构的FFT可 以进行流水线操作,能够对信号样本进行实时连续的 运算。选择缓冲器的用途是拉齐数据,将RAM输出的 2个复数数据拆成4个实数数据输人到蝶形运算单元, 完成蝶形运算后的数据进入选择缓冲器组合成2个复 数数据输出。 1.2.3 地址产生单元电路 为了简单,用8点FFT实例说明地址产生单元的 设计。假设以自然顺序进入FFT处理单元的数据为 y(n),,,(O)一,,(7)组成第1个数据块,,,(8)~),(15) 组成第2个数据块用,依次类推。用,,1(n)表示FFT的 第l级输出,,(n)表示F盯的第2级输出,y3(凡)表示 FFT的第3级输出。 由表l可以看出各块数据在第1级双口RAM中的 存储地址顺序应该为:第l块数据按照自然顺序存储; 第2块数据按照o,譬,1,譬毒1,2,譬+2,⋯,i,譬+i, 二 二 二 二 ⋯,詈一l,Ⅳ一1顺序存储;第3块数据按照o,孚,导, o 加 加 舶 舶 ∞ _ . _ 1 万方数据 第27卷第9期 朱冰莲等:Ff,GA实现流水线结构的F兀'处理器 35 譬+孚,·,孚+·,譬+·,譬+孚+t,⋯,孚一·,孕一 1,譬+譬一l,譬+孕一1顺序存储;第4块数据又是 按照自然顺序存储。通过分析数据在第l级双口RAM 中的存储地址顺序,可以发现一个规律,下一块数据的 存储地址可以由上一块数据的存储地址循环右移得 到,即把地址的最低位移到地址的最高位,其余地址向 右移。 表1 FFT数据在双口RAM中的存储顺序 第1级的地址产生可以用从O到Ⅳ一1的地址计 数器来产生,用一个块数计数器即O~log:Ⅳ一l来控 制地址计数器的循环移位,当块计数器为0时,地址计 数器输出不移位,当块计数器为1时,地址计数器输出 循环右移一位,同理,当块计数器为2时,地址计数器 输出循环右移2位,依次类推。电路原理图如图3所示。 同样的分析方法,可以得到第2级地址产生规律: 当块计数器为偶数时,地址输出等于计数器输出的地 址;当块计数器为奇数时,地址输出等于交换计数器输 出的最低位和最高位得到的地址。经过分析,第3级及 其以后各级的地址产生方法与第2级地址产生方法相 同,只是地址宽度逐级递减。电路原理图如图4所示。 图3 第一级地址产生电路 址输出 址输出 图4 第二级地址产生电路 1.2.4 蝶形运算单元电路 蝶形单元是FFrI’算法的基本的操作,它由1个复 数加法器、1个复数减法器和1个旋转因子的复数乘法 器组成。图5为蝶形处理单元示意图,A,B表示蝶形结 输入的复数数据,c,D表示蝶形结的输出结果,噼表 示旋转因子。为了防止运算结果溢出,在蝶形结的2个 支路都乘以1/2的比例因子‘引。 C D 图5 蝶形处理单元示意图 将复数用实部、虚部表示:A=0,+扣;,召=6,+ jbt.C=cr+jci,D=dr+jdt碜A奄 c,=÷(q+6,) (10) c‘=÷(口‘+6i) (11) t=吉卜_6r)c。s(等)+(旷6i)sin(等)】 (12) 如丢h-6i)cos(等)小,-6r)sin(等)】 (13) 由式(10)和(11)可知c,和c;肯定不会溢出。由式 (12)和(13)可知d,和吐有可能溢出,但是d,和喀溢 出的概率很小,因此可以对d,和破作饱和处理,即计 算结果正向溢出时,d,和盔用最大正值表示;计算结果 反向溢出时,d,和盔用最大负值表示;计算结果不发生 溢出时,d,和喀等于计算值。虽然饱和处理会引入一定 的误差,但是由于溢出的概率很小,所以误差可以 忽略。 1.3 求复数模值算法 对FFT变换结果取模,既能节省存储FFT变换结 果需要的ROM空间,又方便观察信号的频谱分布。但 M加"殂堪笼侈筋一。y,y,厂。y,y。y.r.r一㈣㈣∽㈤㈣㈩㈣㈣一y,y。y。y,,£。y,。y,,,,£㈤㈣㈤㈣㈣㈣㈤㈤一 y y y y y y y y— y y y y y y y y一趵∽㈣川”ⅢⅢ㈣一 万方数据 36 重庆大学学报 2004筚 是用硬件来实现复数求模运算很困难∞o,因而在此采 用了一种近似算法。 由三角公式、求模公式可以推导出IX(后)I的范 围如下: max[1Rex(七)I,IImx(.|})I]≤lx(后)l≤ max[IRex(_|})I,IIllⅨ(||})1]+ 业止堕韭u掣蝤韭山 (14) l+√2 取Ix(忌)I的近似值为: x(后)l—max[IRex(后)I,lInLY(后)I]+ 这样便利用简单的移位和加法运算代替复杂的乘法和 求平方根运算,大大简化了硬件结构节省了资源。该 近似方法平均误差为o.6%,在(詈,挈,孚,孕)点误 差最大,为11.6%。 2 FFT处理器的软件仿真结果 利用ALll5lRA公司提供的Quartus开发系统,选 用APEx20K系列中的EP20豳00E逻辑器件进行时序 仿真的结果如表2所示。 也山丝盟纠鱼型山 (15)4 、 ’ 表2 F耵处理器的仿真实验结果 3结论 [3] 实验结果表明,按照笔者的方法设计的FF.II处理 器,可以有效的抑制频谱泄漏的影响,频谱分析精度比 ,., 较高。而且可以对信号进行连续实时的频谱分析,最高 。。 工作频率达到75MHz。 [5] 参考文献: [6] [1]韩颖。王旭,吴嗣亮.FH、A实现高速加窗复数FFr处理器的 研究[J].北京理工大学学报,加0B,为(3):鲳l一3甑 [2]uuJINMING,ⅥNGH咖IA0..IkD0nIiIlamF.唧emy Pb8iti∞§IIIn∞慨∞确TBp∞trI姗lelIl【age[J】.觚EP静 ceedi唱ofICSP,96:24l一244. 郑星亮,程洁,魏任之.数字信号处理中的窗效应及窗函 数的应用原则[J].北京联合大学学报,1997,1l(2):33 —37. 潘文,钱俞寿,周鹗.基于加窗插值F兀.的电力谐波测量理 论(I)窗函数研究[J].电工技术学报,1994,9(1):50—54. 程佩青.数字信号处理[M].北京:清华大学出版 社,2002. PAuLT,CApOZzA.ASingle—ChipNanDwBarIdFrequen- cyD锄ainE】ccis甜foraGlobalP∞ition沁Sy8tem(GPs) Receiver[J].1EEEJ伽mal0fSoHd—stdtecirclIits.2000, 35(3):401—411. FPGAhnplementationofPipeU玳dFFT ZHUBing一驺an。LtUXue—gan9 (Co姗unic撕∞En萄聃甜ngCoⅡege,Chongqinguniversi哆,Chongqing400030,ChiM) Abs触ct:ApipelinedFFTproce咖rdesi印edfor缸t肌d脱l—timerequirementswinlFPGAi8innDduced.nisFF.I’ processorc明beusedtoreal—timef}equencyanalysisanditsworkingfbquencycanreachto75MHz.Theleakagee卜 mrisreducedtIlmu曲multiplytlles啪pledsi鲫albyawei曲tingwindow.hlordertoimproveH叩§workingfrequency aIldeconomizeFPGAresources,觚algorit}Imof1024一pointc锄plextocompute2048一pointrealdataisad叩ted.In addition,allapproximateaJgorithmlocomputemodulev8lueofeomplexnumberi8in捌uced. Keywords:pipeline;FFT;FPGA (螭辑吕赛英) 万方数据 FPGA实现流水线结构的FFT处理器 作者: 朱冰莲, 刘学刚 作者单位: 重庆大学,通信工程学院,重庆,400030 刊名: 重庆大学学报(自然科学版) 英文刊名: JOURNAL OF CHONGQING UNIVERSITY(NATURAL SCIENCE EDITION) 年,卷(期): 2004,27(9) 被引用次数: 11次 参考文献(6条) 1.韩颖.王旭.吴嗣亮 FPGA实现高速加窗复数FFT处理器的研究[期刊论文]-北京理工大学学报 2003(03) 2.LIUJINMING.YING HUAIQIAO TheDominantFrequencyPosition'sInfluenceonFFTspectrumleakage 1996 3.郑星亮.程沽.魏任之 数字信号处理中的窗效应及窗函数的应用原则 1997(02) 4.潘文.钱俞寿.周鹗 基于加窗插值FFT的电力谐波测量理论(Ⅰ)窗函数研究 1994(01) 5.程佩青 数字信号处理 2002 6.Pault.CAPOZZA ASingle-ChipNarrowBandFrequencyDomainExcisorforaGlobalPositioningSystemGPSReceiver 2000(03) 相似文献(10条) 1.学位论文 陆旦前 快速傅立叶变换(FFT)的FPGA实现 2007 随着数字电子技术的发展,数字信号处理的理论和技术广泛的应用于通讯、语音处理、计算机和多媒体等领域。快速傅立叶变换(FFT)使离散傅立叶 变换的运算时间缩短了几个数量级,在数字信号处理领域被广泛应用。FFT已经成为现代信号处理的重要手段之一。 现场可编程门阵列(FPGA)是近年来迅速发展起来的新型可编程器件。随着它的不断应用和发展,也使电子设计的规模和集成度不断提高。同时基于 FPGA实现FFT的设计方法和思想被提出。本次设计的目的是快速傅立叶变换(FFT)的FPGA实现。 此文在分析了快速傅立叶算法的基础上,提出了一种频率抽取基4 FFT的FPGA 设计方案 关于薪酬设计方案通用技术作品设计方案停车场设计方案多媒体教室设计方案农贸市场设计方案 ,针对现有FFT的FPGA实现过程中蝶形运算需要频繁乘以多个 旋转因子提出了改进方法,减少了旋转因子的乘法次数和存储空间,加快了蝶形运算的速度,设计的地址映射方法,无需运算即可得到所需数据的存放 地址,并结合采用乒乓结构和流水线方式,来提高快速傅立叶变换(FFT)FPGA实现的速度。描述了一片FPGA芯片内完成了整个FFT处理器的电路设计,经 过模块时序仿真和数据的验证及测试,达到工作在50MHz时钟频率的设计要求。最后对后续设计做了描述,并对用FPGA实现FFT做了展望。 2.期刊论文 谭磊.张朝阳.陈文正.TAN Lei.ZHANG Zhao-yang.CHEN Wen-zheng 高速定点快速傅立叶变换处理器的 设计与实现 -浙江大学学报(工学版)2005,39(3) 针对宽带正交频分复用(OFDM)系统中高速数据处理的要求,提出了64点高速定点快速傅立叶变换(FFT)处理器在现场可编程门阵列(FPGA)中的设计与 实现方法.该方法采用了基于按频率抽取(DIF)Radix-4算法的3级流水线结构,每级将乘法器的旋转因子输入端固定为常数值,而不是作为变量从ROM中读取 ,流水寄存中间数据结果,使之处于稳态,并进行比特位截取定点操作.实验结果表明,该方法在保证运算精度和实现复杂度的同时,减少了ROM读取时间,提 高了处理器的数据时钟频率和处理速度,更好的满足了宽带OFDM系统高速数据收发处理的要求. 3.学位论文 陈媚媚 点数可配置的FFT处理芯片设计研究 2009 快速傅立叶变换(FFT)是数字信号处理领域的核心算法之一,在现代数字信号处理的各个领域有着极为广泛的应用。随着宽带OFDM系统,ADSL系统 ,数字电视系统,雷达及声纳信号处理系统的快速发展,作为这些数字信号处理系统的关键模块之一的FFT处理器,愈发显得重要起来。目前,实现 FFT运算的硬件载体,主要有三种:数字信号处理器(DSP),现场可编程门阵列(FPGA)以及专用集成电路(ASIC)。由于很多领域都对FFT运算提出了 诸如高精度、高速度、高实时性及低功耗的要求,而在这三种硬件实现中只有ASIC能够满足这些要求,因此研制高点数、高速度、高精度的FFT专用处理 芯片具十分重要的意义。 可配置高性能FFT处理芯片可以实现4,16,64,256,1024点数据的快速傅立叶变化。本文研究的可配置高性能FFT处理芯片是基于TSMC0.18μm CMOS标准单元库的半定制ASIC设计,采用自顶向下,以关键模块为设计对象的设计方法,使用Verilog HDL,描述系统,在Modelsim、Design Compiler、PrimeTime和Astro等电子设计自动化(EDA)工具中完成。 在可配置高性能的设计要求下,本文在分析各种算法特点后,选取IEEE754单精度浮点数作为运算数据格式,采用时间抽取(DIT)基-4算法作为芯 片的实现算法,按照运算流图划分系统的模块,并对每个模块进行功能定义。整个系统被划分为:蝶形运算单元、系统控制单元、运算数据存储 (RAM)与寻址单元、旋转因子存储(ROM)与寻址单元以及总线交换单元。其中,蝶形运算单元是系统的核心单元,采用了时序控制和流水线并行处理 相结合的结构。由于所采用的固定结构FFT算法流图,每级蝶形运算数据输入输出的位置不同,因此系统选用乒乓结构存储器组分别用于每级蝶形运算单 元输入输出数据的读和写,并通过寻址单元生成数据的存取地址。 在系统寄存器传输级(RTL)设计完成后,本文,首先,选用Aletra的DE2开发板作为FPGA验证平台对FFT处理芯片进行验证;接着,基于 TSMC0.18μm CMOS标准单元库,完成了处理器芯片的设计,包括逻辑综合、布局布线等。布线后网表的仿真结果表明本芯片完成1024点浮点复数FFT运 算需时17.4μs。 本文通过对可配置高性能FFT处理芯片的探索研究,为实现更高性能的FFT处理器打下坚实的基础。 4.期刊论文 包达.罗立民.BAO Da.LUO Limin 基于可编程图形处理器的快速傅立叶变换 -生物医学工程研究 2008,27(2) 利用GPU通用计算技术,使用按时间抽选(DIT)算法,提出了一种在GPU上实现二维快速傅立叶变换(FFT)的方法.并对GPU与CPU在该实现中的差异和性能 进行了比较.实验结果表明:二维傅立叶变换在GPU上的实现效率明显高于在CPU上的实现效率. 5.学位论文 张海南 基于FPGA的高性能32位浮点FFT IP核的开发 2008 快速傅立叶变换(FFT)作为时域与频域转换的基本工具,正被广泛应用于检测、通信、图像处理和多媒体等领域。而浮点FFT算法的FPGA实现正成为 新的研究热点,受到了广泛关注。 论文首先分析了多种FFT算法以及算法的硬件实现结构,并选择按时间抽选基-2算法作为本课 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 的目标算法,同时采用单蝶形顺序处理结构实现浮点 FFT处理器。随后,论文介绍了浮点乘法器和浮点加减法器的硬件结构设计。其中采用了高速定点乘法器、快速前导零检测逻辑等几种新技术,并使用了 流水线设计思想。在此基础上,论文介绍了FFT整体结构设计,包括结构改进的蝶形运算单元、存储单元和地址发生单元等模块。 设计在FPGA硬件平台上进行了详细的测试分析。结果表明,系统实现了较高的运算精度,可稳定运行在50MHz的频率,完成一帧256点浮点复数数据 的FFT运算共需时约81.92μs。相比通用DSP和单片机实现在性能方面具有一定的优势。 6.学位论文 王益群 OFDM系统中基于FPGA平台的FFT实现 2009 随着对正交频分复用(OFDM)处理速度和实时性的要求越来越高,高性能OFDM处理系统的设计显得尤为重要。OFDM实时处理处理系统大点数据的运算 量主要集中在距离向和方位向的压缩处理上,而其核心是实现匹配滤波的快速傅立叶变换(FFT)运算。常用的处理方案是采用并行高速DSP来完成大点 FFT运算,但需要算法分解和复杂的控制,而且在点数上也有很大局限性。随着硬件技术的迅速发展,可编程器件FPGA已经成为比DSP更优越的压缩处理 方式,在体积、速度、灵活性等各种性能都优于DSP。 本设计基于新一代的FPGA平台,提出了一种高效可行的方案,设计出了高性能的FFT运算器。在FFT算法方面,对比各种快速算法,采用高效的基一 4DIT算法;在实现框架方面,采用级联流水线结构和优化设计的蝶形单元,并结合乒乓RAM,提高了运算的并行度,而且方便扩展,能适应不同长度的 FFT:在数据精度方面,设计了块浮点算法,在满足系统指标的基础上解决了速度和精度的矛盾;在旋转因子方面,则采用了全新的CORDIC算法动态生成 的方法,解决了旋转因子查表法的不易扩展和资源占用大的问题。总之,本设计基于新硬件平台的丰富资源和FFT实现的优化方案,在实时性、精度和资 源占用上都达到了新的高度,并通过了功能验证,具有良好的应用前景。 7.期刊论文 董志.张羿猛.黄芝平.唐贵林.刘纯武.DONG Zhi.ZHANG Yimeng.HUANG Zhiping.TANG Guilin.LIU Chunwu 基于流水线的FFT快速计算方法与实现技术 -测试技术学报2009,23(5) 针对目前CDMA快速码捕获系统对捕获速度要求越来越高,在分析快速傅里叶算法理论的基础上,结合FPGA(Field Programmable Gate Array)的独特硬 件结构,提出一种基于流水线的FFT(Fast Fourier Transform)快速实现方法,并对该方法进行了matlab仿真、ISE仿真和FPGA实验.研究结果证明:相比于 传统的FFT实现方法,在保证计算精度的基础上,该方法实现了FFT计算数据的连续输入与输出.减小了捕获时延,缩短了至少1/3的计算时间,在100 MHz时钟 时,完成4096点的FFT运算只需要42.05μs,为高速信号处理系统提供了一种更好的时频转换方法. 8.学位论文 赵梅 高速64点FFT芯片设计技术研究 2007 快速傅立叶变换(FFT)作为数字信号处理领域的核心算法之一,在现代数字信号处理的各个领域有着极为广泛的应用。随着宽带OFDM系统,ADSL调制 器,数字电视,雷达及声纳信号处理系统的应用发展,FFT处理器已经成为这些数字信号处理系统的关键模块之一,因此高性能专用FFT芯片的设计技术 具有重要研究价值。 本文首先介绍了基二FFT算法原理并分析了FFT算法各级蝶形变换中数据及旋转因子进行蝶形运算的组合规律。本文接下来介绍了原位存取FFT算法流 图及固定结构FFT算法流图的数据处理流程,分析比较了根据这两种FFT算法流图进行FFT处理器设计的不同结构特点。本文根据固定结构FFT算法流图的 数据处理流程对FFT处理器进行了结构划分以及系统模块功能定义,并确定了本设计FFT处理器的组成结构以及工作方式。 本设计FFT处理器系统主要包含FFT运算控制器,数据存储器,地址生成单元,蝶形运算单元,I/O缓存以及I/O控制器等模块,这些模块分别用于 FFT变换中间数据的暂存与读写,数据的蝶形运算处理以及系统各模块工作状态的控制。由于固定结构FFT算法每级蝶形变换数据输入输出位置不同,因 此系统采用乒乓结构存储器分别用于每级蝶形变换蝶形运算单元数据的读写并通过一系列地址产生单元来生成数据的存取地址。蝶形运算单元包含多个 加减法及乘法运算,因此可以采用流水线结构实现。此外,本设计采用两个蝶形运算单元并行处理数据以提高系统工作速度。系统通过FFT运算控制器及 IO控制器来控制系统各模块的协同工作。 在完成系统结构划分及模块功能定义后,设计采用Verilog HDL对系统各模块进行编码设计并通过仿真工具ModelSim对系统各模块的Verilog代码进 行功能仿真,并将本设计FFT处理器的Verilog代码的FFT运算处理结果与Matlab生成的运算结果进行对比验证。在证明系统功能正确后,设计对系统中部 分关键模块的Verilog代码进行初步的逻辑综合,并对综合的网表文件进行后仿真及初步布局布线,从而确保设计中各模块Verilog代码具有可综合性并 初步估计芯片的面积功耗,为系统各模块的进一步优化以及系统的后端设计打下良好基础。 9.期刊论文 朱冰莲.孔杰.Zhu Binglian.Kong Jie 高效复数流水线蝶形单元的FPGA实现 -电子测量与仪器学报 2005,19(4) 在实时信号处理系统的设计中,要求用尽量少的硬件资源实现高速的FFT蝶形运算,本文介绍了一种高效复数流水线蝶形单元的FPGA实现,该方法充分 结合信号处理算法和EDA优化手段,从成本和速度两个方面折中考虑,在大大减少存储单元和提高速度的同时,不牺牲额外的硬件成本.其性能对于大点数 FFT运算有明显的优势. 10.学位论文 刘小明 超高速快速傅立叶变换的实现 2006 随着现代科技的发展,快速傅里叶变换(FFT)技术现已成为数字信号处理强有力的工具,广泛地应用于雷达、声纳、通信等领城。FFT的实现手段主 要包括:通用计算机、通用数字信号处理芯片和FPGA。目前使用AD公司的DSP器件TS101在实现256点FFT变换时,其数据吞吐率为58MHz,使用Altera公司 的IP核实现相同点数的变换时,其数据吞吐率也只有337MHz。相对与现在某些雷达信号处理领域,对FFT变换上GHz吞吐率的要求来说,上述两种实现方 法显然不能满足要求。 在这种背景下,本课题采用现场可编程门阵列(FPGA),设计实现了一种超高速FFT处理器。目前,使用FPGA实现FFT多采用基2和基4结构,随着 FPGA规模的不断扩大,使采用更高基数实现FFT变换成为可能。本课题就是采用Altera公司的StratixⅡ芯片完成了基16-FFT处理器的设计。在设计实现 过程中,以基2-FFT搭建基16-FFT的运算核,合理安排时序,解决了碟形运算、数据传输和存储操作协调一致的问题。由于采用流水线工作方式,使整个 系统的数据交换和处理速度得以很大提高。本设计实现了4096点和256点的变换,两个内部运算时钟都可以达到100MHz以上,其中256点变换的数据吞吐 率高达1.36GHz。 引证文献(11条) 1.徐娜.杨鼎才 基于FPGA的高速定点FFT算法的实现[期刊论文]-现代电子技术 2009(12) 2.郭宇.王建华 基于FPGA的FFT处理器的设计[期刊论文]-微计算机信息 2009(8) 3.黄隽.刘勇.韩方景 基于超大规模FPGA的FFT设计与实现[期刊论文]-电子技术应用 2009(1) 4.王全州.杨硕 高速FFT处理器的FPGA实现[期刊论文]-电子测量技术 2008(10) 5.王全州.裴东.杨志民.杨硕.陶中幸 基于FPGA的FFT处理器的研究与设计[期刊论文]-西北师范大学学报(自然科学 版) 2008(5) 6.陆旦前.陈建平.陈晓勇 FFT算法的一种FPGA设计[期刊论文]-现代电子技术 2007(6) 7.满峰.汶德胜.朱家佳 基于FPGA的高速FFT处理器的设计与实现[期刊论文]-科学技术与工程 2006(17) 8.满峰.汶德胜.乔卫东.朱家佳 TDICCD亚像元图像融合的实时实现系统[期刊论文]-弹箭与制导学报 2006(2) 9.高瞻 FFT处理器设计及其应用研究[学位论文]硕士 2006 10.胡德俊 FFT处理器的设计与实现[学位论文]硕士 2006 11.于效宇 基于FPGA的FFT处理器的实现[学位论文]硕士 2005 本文链接:http://d.g.wanfangdata.com.cn/Periodical_cqdxxb200409009.aspx 授权使用:陕西理工学院(sxlgxy),授权号:375a94ef-e6c4-493d-bf18-9df2010047d4 下载时间:2010年9月15日
本文档为【FPGA实现流水线结构的FFT处理器】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_849293
暂无简介~
格式:pdf
大小:389KB
软件:PDF阅读器
页数:7
分类:工学
上传时间:2013-05-03
浏览量:16