首页 十字路口交通灯控制器设计PPT课件

十字路口交通灯控制器设计PPT课件

举报
开通vip

十字路口交通灯控制器设计PPT课件摘要本系统采用EDA实验箱设计交通灯控制器,模拟实现了红、绿、黄灯指挥交通的功能。它直接采用240C8芯片开发,用VHDL语言编程和QUARTUSⅡ7.2设计。交通灯控制器设计,系统的阐述了用240C8芯片实现数字电路的设计过程,展示了240C8芯片的强大功能和非凡特性。本交通灯控制器适用东西和南北方向的车流量大致相同的路口,同时用数码管指示当前状态(红、绿、黄、左拐灯)的剩余时间。另有一个紧急状态,当特殊情况发生时,两个方向都禁止通行,指示红灯,紧急状态解除后,重新计时并指示时间。基本要求:(1)东西方向(甲道)...

十字路口交通灯控制器设计PPT课件
摘要本系统采用EDA实验箱设计交通灯控制器,模拟实现了红、绿、黄灯指挥交通的功能。它直接采用240C8芯片开发,用VHDL语言编程和QUARTUSⅡ7.2设计。交通灯控制器设计,系统的阐述了用240C8芯片实现数字电路的设计过程,展示了240C8芯片的强大功能和非凡特性。本交通灯控制器适用东西和南北方向的车流量大致相同的路口,同时用数码管指示当前状态(红、绿、黄、左拐灯)的剩余时间。另有一个紧急状态,当特殊情况发生时,两个方向都禁止通行,指示红灯,紧急状态解除后,重新计时并指示时间。基本要求:(1)东西方向(甲道)循环为绿灯45s,黄灯5s,左拐灯15s,黄灯5s,红灯40s,黄灯5s(2)南北方向(乙道)循环为红灯65s,黄灯5s,绿灯20s,黄灯5s,左拐灯15s,黄灯5s(3)在数码管上倒计时间显示各道剩余时间;发挥部分:(4)增加指示灯闪烁(绿灯最后5s和黄灯显示时间)及特殊紧急情况的处理;(5)增加根据不同方向车流量的大小调节各灯显示时间长度的功能;交通灯工作原理根据交通灯控制器的功能与要求,将其总体电路分为状态控制信号灯显示,数码管倒计时显示模块。外部两路脉冲振荡器的频率选为1kHz和1Hz的信号,1khz信号用于显示模块的扫描,1Hz信号用做倒计时模块的计数脉冲。较易交通灯控制器的实现为了让大家更易理解,我们先来看看十字路口简单控制器显示。右图为两交通灯的运行状态,东西、南北方向的不同状态组合(红绿、红黄、绿红、黄红四个状态)。但我们可以简单地将其看成两个(东西、南北)减1的计数器,通过监测两个方向的计数值,可以检测红黄绿灯组合的跳变。(此图不包括左拐灯)。题目要求回顾:设计一个简单十字路口交通灯控制器。该控制器控制东西南北两道的红、黄、绿三色灯,指挥交通和行人安全通行,本次实验只要求做出倒计时时间显示模块的VHDL程序,和黄灯闪烁。复杂十字路口交通灯控制器要比简单交通灯控制器增加一些功能,如倒计时时间显示,左转弯(左拐)、指示灯闪烁及特殊紧急情况的处理等。下面以下面的十字路口交通灯系统为例,来说明上述功能的实现:本次交通灯控制器的实现东西方向南北方向S(0)S(1)S(2)S(3)S(4)S(5S(6)S(7)S(8)S(9)S(10)S(11)绿灯45s黄灯5s左拐灯15s黄灯5s红灯40s黄灯5s红灯65s黄灯5s绿灯20s黄灯5s左拐灯15s黄灯5s一个周期划分成以下8种状态:S0:cnt=0-44:甲道通行,乙道禁止;S1:cnt=45-49:甲道停车,乙道禁止;S2:cnt=50-64;甲道左拐,乙道禁止;S3:cnt=65-69;甲道停车,乙道禁止;S4:cnt=70-89;甲道禁止,乙道通行;S5:cnt=90-94;甲道禁止,乙道停车;S6:cnt=95-109;甲道禁止,乙道左拐;S7:cnt=110-114;甲道禁止,乙道停车;一、倒计时时间显示模块的处理1、各路口倒计时时间的计算在各个阶段,各路口倒计时时间显示值与当前计数值存在一定的关系:S0:cnt=0-44:甲道时间显示:disp1=45-cnt;乙道时间显示:disp2=65-cnt;S1:cnt=45-49:甲道时间显示:disp1=50-cnt;乙道时间显示:disp2=65-cnt;S2:cnt=50-64;甲道时间显示:disp1=65-cnt;乙道时间显示:disp2=65-cnt;S3:cnt=65-69;甲道时间显示:disp1=70-cnt;乙道时间显示:disp2=70-cnt;S4:cnt=70-89;甲道时间显示:disp1=110-cnt;乙道时间显示:disp2=90-cnt;S5:cnt=90-94;甲道时间显示:disp1=110-cnt;乙道时间显示:disp2=95-cnt;S6:cnt=95-109;甲道时间显示:disp1=110-cnt;乙道时间显示:disp2=110-cnt;S7:cnt=110-114;甲道时间显示:disp1=115-cnt;乙道时间显示:disp2=115-cnt;2、倒计时时间的显示根据上述关系得到的disp1和disp2是十六进制数,在送到数码管显示之前,先要将它们转换成二位BCD码 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 示。为此,需要定义一个转换函数Bin_to_BCD,用来实现从7位二进制数2位BCD码的转换。利用自定义程序包调用到主程序上就可使用。交通灯控制器主程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;usework.bintoBCD.all;entityjtd2isport(clk,reset:instd_logic;r1,r2,y1,y2,l1,l2,g1,g2:outstd_logic;disp11,disp22:outstd_logic_vector(7downto0));endentityjtd2;architecturebehavofjtd2issignalcnt:std_logic_vector(6downto0);signaldisp1,disp2:std_logic_vector(6downto0);beginprocess(clk,reset)beginifreset='1'thencnt<=(others=>'0');elsifclk'eventandclk='1'thenifcnt=114thencnt<=(others=>'0');elsecnt<=cnt+1;endif;endif;endprocess;倒计时时间显示模块黄灯闪烁的VHDL程序如下:process(cnt,clk)variablecnt1:integerrange0to127;begincnt1:=conv_integer(cnt);casecnt1iswhen0to39=>r1<='0';g1<='1';y1<='0';l1<='0';r2<='1';g2<='0';y2<='0';l2<='0';disp1<=45-cnt;disp2<=65-cnt;when40to44=>r1<='0';g1<=clk;y1<='0';l1<='0';r2<='1';g2<='0';y2<='0';l2<='0';disp1<=45-cnt;disp2<=65-cnt;when45to49=>r1<='0';g1<='0';y1<=clk;l1<='0';r2<='1';g2<='0';y2<='0';l2<='0';disp1<=50-cnt;disp2<=65-cnt;when50to64=>r1<='0';g1<='0';y1<='0';l1<='1';r2<='1';g2<='0';y2<='0';l2<='0';disp1<=65-cnt;disp2<=65-cnt;when65to69=>r1<='0';g1<='0';y1<=clk;l1<='0';r2<='0';g2<='0';y2<=clk;l2<='0';disp1<=70-cnt;disp2<=70-cnt;when70to84=>r1<='1';g1<='0';y1<='0';l1<='0';r2<='0';g2<='1';y2<='0';l2<='0';disp1<=110-cnt;disp2<=90-cnt;when85to89=>r1<='1';g1<='0';y1<='0';l1<='0';r2<='0';g2<=clk;y2<='0';l2<='0';disp1<=110-cnt;disp2<=90-cnt;when90to94=>r1<='1';g1<='0';y1<='0';l1<='0';r2<='0';g2<='0';y2<=clk;l2<='0';disp1<=110-cnt;disp2<=95-cnt;when95to109=>r1<='1';g1<='0';y1<='0';l1<='0';r2<='0';g2<='0';y2<='0';l2<='1';disp1<=110-cnt;disp2<=110-cnt;when110to114=>r1<='0';g1<='0';y1<=clk;l1<='0';r2<='0';g2<='0';y2<=clk;l2<='0';disp1<=115-cnt;disp2<=115-cnt;whenothers=>null;endcase;3软件仿真本实验仿真应用的是QUARTUSⅡ7.2软件的内部仿真程序,在仿真过程中高电平置1,有效状态也为高电平1,若红绿黄灯置1时则证明其工作处于亮的状态。在东西南北方向的数码管显示的数字是由BCD码转换的,如00000000则显示是00,00011001显示为19。仿真图仿真时各输入端的端口对应表结束语本次实验我们受益良多,在写和更改程序的过程中,我们对VHDL语言有了更深一步的了解,并且在与组员探讨的过程中,加深了对十字路口交通灯的状态转换的了解,明白了绿红、黄红、左红、黄黄、红绿、红黄、红左、黄黄8个状态之间的循环往复,也加深了团队之间的友谊.
本文档为【十字路口交通灯控制器设计PPT课件】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
知识改变命运的轨迹
Java开发工程师
格式:ppt
大小:235KB
软件:PowerPoint
页数:19
分类:成人教育
上传时间:2023-06-17
浏览量:4