首页 出租汽车里程计价表

出租汽车里程计价表

举报
开通vip

出租汽车里程计价表电子技能课程设计任务书1.课程设计的内容和要求(包罗原始数据、技能要求、事情要求等):出租车自动计费器设计设计一个出租车自动计费器,具有行车里程计费,期待时间计费及起价等三部门。用四位数码管显示总金额,最大值为99.99元。行车里程单价(0.##元/公里)、期待时间单价(0.##元/10分钟)、起价(#.##元)均能通过数码BCD管拨盘输入。在车辆启动与停止时发出音响信号,以提醒主顾注意。接纳外部直流电源供电。***联接微型打印机打印收据接口电路。电子技能课程设计任务书2.对课程设计结果的要求〔包罗图表、实...

出租汽车里程计价表
电子技能课程设计任务书1.课程设计的内容和要求(包罗原始数据、技能要求、事情要求等):出租车自动计费器设计设计一个出租车自动计费器,具有行车里程计费,期待时间计费及起价等三部门。用四位数码管显示总金额,最大值为99.99元。行车里程单价(0.##元/公里)、期待时间单价(0.##元/10分钟)、起价(#.##元)均能通过数码BCD管拨盘输入。在车辆启动与停止时发出音响信号,以提醒主顾注意。接纳外部直流电源供电。***联接微型打印机打印收据接口电路。电子技能课程设计任务书2.对课程设计结果的要求〔包罗图 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 、实物等硬件要求〕:设计电路,安装调试或仿真,阐发实验结果,并写出设计 说明书 房屋状态说明书下载罗氏说明书下载焊机说明书下载罗氏说明书下载GGD说明书下载 ,语言流畅简便,文字不得少于3500字。要求图纸结构公道,切合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件选择要有盘算依据。3.主要参考文献:[1]谢自美。电子线路设计、实验、测试[M]华中理工大学,2001[2]彭介华.电子技能课程设计指导[M].北京:高等教诲出书社,1997[3]毕满清.电子技能实验与课程设计[M].北京:机器产业出书社,1995[4]陈明义.电工电子技能课程设计指导[M].长沙:中南大学出书社,2002[5]陈永甫.新编555集成电路应用800例[M].北京:电子产业出书社20004.课程设计事情进度筹划:序号起止日期工作内容12011-1-3部署任务,西席解说设计要领及要求22011-1-4学生查找阅读资料,并确定 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 32011-1-5学生设计小组集会,讨论方案42011-1-6~11设计、仿真实验52010-1-12~13写说明书,小组讨论62010-1-14答辩指导西席苏泽光日期:2010年12月日目录TOC\o"1-3"\h\z\uHYPERLINK\l"_Toc218916319"引言1HYPERLINK\l"_Toc218916320"1系统设计2HYPERLINK\l"_Toc218916321"2HYPERLINK\l"_Toc218916322"2HYPERLINK\l"_Toc218916323"13设计要求2HYPERLINK\l"_Toc218916321"2HYPERLINK\l"_Toc218916321"2HYPERLINK\l"_Toc218916321"3HYPERLINK\l"_Toc218916324"2.事情原理3HYPERLINK\l"_Toc218916325"2.1总体构思3HYPERLINK\l"_Toc218916325"2.2AT89S51单片机及其引脚说明3HYPERLINK\l"_Toc218916325"2.3AT24C02引脚图及其引脚功效、掉电存储单位设计5HYPERLINK\l"_Toc218916325"2.4里程盘算、计价单位的设计6HYPERLINK\l"_Toc218916325"按键单位的设计8HYPERLINK\l"_Toc218916325"2.6语音功效的设计8HYPERLINK\l"_Toc218916326"3.系统主步伐设计8HYPERLINK\l"_Toc218916327"定时中断办事步伐设计9HYPERLINK\l"_Toc218916328"3.3里程计数中断办事步伐设计9HYPERLINK\l"_Toc218916329"3.4中途期待中断办事步伐设计9HYPERLINK\l"_Toc218916330"3.5显示子步伐办事步伐设计9HYPERLINK\l"_Toc218916330"3.6键盘办事步伐设计9HYPERLINK\l"_Toc218916346"4.系统测试11HYPERLINK\l"_Toc218916347"测试使用的仪器或软件11HYPERLINK\l"_Toc218916348"出租汽车里程计价表VHDL步伐11HYPERLINK\l"_Toc218916349"模块设计11HYPERLINK\l"_Toc218916350"4.2.2VHDL步伐设计11HYPERLINK\l"_Toc218916351"出租汽车里程计价表模块原理图标记14HYPERLINK\l"_Toc218916352"步伐仿真图15HYPERLINK\l"_Toc218916353"结论17HYPERLINK\l"_Toc218916353"参考文献18HYPERLINK\l"_Toc218916353"心得体会19HYPERLINK\l"_Toc218916354"附录1主要元器件清单20HYPERLINK\l"_Toc218916355"附录2电路原理图及印制板图21摘要本设计论述了以多数地域的出租车计价器为例,接纳VHDL硬件描述语言编写主步伐并利用EDA技能仿真设计的出租车计费器,使其具有计量功效、漏电掩护功效、语音功效等。本设计是以单片机AT89C51为焦点,以8279、7407,75451等智能芯片为外设的出租车计价器的微机系统设计。给出了硬件电路图及主步伐流程图,论述了软硬件设计历程中要害技能的处置惩罚。要害词:出租车计价器,单片机AT89S51,VHDL,EDAThisdesignexpatiatedinmostareasofthetaxidevicesasanexample,theauthorsVHDLVHDLwritemain-levelprogramsandusingEDAtechnologysimulationdesigntaxipiece,makeitshavemetering,leakageprotectionfunction,thespeechfunctionetc.Thisdesignbasedonsingle-chipmicrocomputerAT89C51asthecore,with75451etc,8279,7407intelligentchipsforperipheraltaximeterofmicrocomputersystemdesign.Italsopresentsthehardwarecircuitdiagramandmainprogramflowchart,elaboratedthehardwareandsoftwaredesignprocessofkeytechnologiesoftheprocessing.Keywords:taximeter,microcontroller,VHDL,EDAAT89S51引言通常坐过出租车的人都知道,只要出租车一开动,随着行驶里程的增加,都市看到出租车前面的计价器里程数字显示的读数从零开始逐渐增大,而当行驶到某一数值(如3km)时计费数字显示开始从起步价(如8元)增加。到达目的地时,便可以按计价器显示的数字收费。随着生活水平的提高,人们已不再满足于衣食住的享受,出行的舒适已受到越来越多人的存眷。于是,出租车行业以低价高质的办事给人们带来了出行的享受。但是总存在着买卖纠纷困扰着行业的生长。而在出租车行业中解决这一矛盾的最好要领就是改进计价器。用越发精良的计价器来为搭客提供越发方便快捷的办事。汽车计价器是搭客与司机双方的生意业务准则,它是出租车行业生长的重要标记,是出租车中最重要的东西。它干系着生意业务双方的利益。具有良好性能的计价器无论是对宽大出租车司机朋友照旧搭客来说都是很须要的。因此,汽车计价器的研究也是十分有一个应用代价的。电路和数字电路设计的计价器整体电路的范围较大,用到的器件多,造成妨碍率高,难调试,对付模式的切换需要用到机器开关,机器开关时间久了会造成打仗不良,功效不易实现。为此我们接纳了单片机进行设计,相对来说功效强大,用较少的硬件和适当的软件相互配合可以很容易的实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功效。针对计费模式的切换,通过软件编程就可以轻易而举的实现。制止了机器开关带来的不稳定因素。现在各大中都市出租车行业都已普及自动计价器,所以计价器技能的生长已成定局。而部门小都市尚未普及,但随着都市建立日益加快,象征着都市面貌的出租车行业也将加快生长,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场照旧十分有潜力的。1系统设计(1)设计秒信号、0.1分信号脉冲产生器。(2)选用十进制系数乘法器。(3)设计四级BCD码计数、译码和显示器。(4)选用产生行驶里程信号的干簧继电器作为脉冲产生电路。1.2设计目的(1)掌握出租汽车里程计价表的设计、组装与调试要领。(2)掌握同步十进制系数乘法计数芯片74167的事情原理。(3)进一步加深对计数、寄存器、译码及显示电路的理解。(1)凭据任务,设计整机逻辑电路,画出详细框图和总原理图。(2)选用中小范围集成器件,实现所选定的电路。提出器材清单。(3)在逻辑箱上接插电路,并进行单位电路调试和整机调试。(4)查抄设计结果。1.4设计思路本次设计以51单片机作为控制器,用霍尔传感器集成芯片44E检测并输出脉冲,送到单片机,由单片机完成计程数及车费的换算及显示。输出接纳7段数码显示管。通过人工按键的方法进行情况的切换。1.5设计框图AT24CO2掉电存储里程盘算单位89S51单片机总金额显示串口显示驱动电路单价显示存储器启动/清除开关键盘控制图1-1步伐设计框图1.6设计所需的元件AT89S51单片机一个,喇叭一个,七段显示器4个,M24C02DW6芯片一个,RESPACK3原件四个,74HC164芯片四个,行程开关SW-PB若干个,con2,3,4插针各一个,电源若干,接地若干,电阻若干,无极性电容若干个。2.1总体构思它由以下几个部件组成:单片机AT89S51、总金额及单价显示部件、键盘控制部件,AT24C02掉电存储控制、里程盘算单位、串中显示驱动电路等。利用单片机富厚的IO端口,及其控制的灵活性,实现根本的里程计价功效和代价调治、时钟显示功效。不光能实现所要求的功效并且能在很大的水平上扩展功效,并且还可以方便的对系统进行升级。具体电路拜见“总体电路图”。键盘控制AT24CO2掉电存储里程盘算单位89S51单片机总金额显示串口显示驱动电路单价显示图2-1单片机控制方案2.2AT89S51单片机及其引脚说明HYPERLINK"http://www.zymcu.com/datasheet/atmel/at89s51_cn.pdf"\t"_blank"AT89S51是一个低功耗,高性能CMOS8位单片机,片内含4kBytesISP(In-systemprogrammable)的可重复擦写1000次的Flash只读步伐存储器,器件接纳ATMEL公司的高密度、非易失性存储技能制造,兼容尺度MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处置惩罚器和ISPFlash存储单位,具有很高性价比。AT89S51是一个有40个引脚的芯片,引脚配置如下图所示。图2-2AT89S51引脚配置AT89S51芯片的40个引脚功效为:VCC电源电压。GND接地。RST复位输入。当RST变为高电平并保持2个呆板周期时,将使单片机复位。WDT溢出将使该引脚输出高电平,设置SFRAUXR的DISRTO位(地点8EH)可打开或封闭该功效。DISKRTO位缺省为RESET输出高电平打开状态。XTAL1反向振荡放大器的输入及内部时钟事情电路的输入。XTAL2来自反向振荡放大器的输出。P0口一组8位漏极开路型双向I/O口。也即地点/数据总线复用口。作为输出口用时,每位能驱动8个TTL逻辑门电路,对端口写“1”可作为高阻抗输入端用。在访问外部数据存储器或步伐存储器时,这组口线分时转换地点(低8位)和数据总线复用,在访问期间激活内部上拉电阻。在Flash编程时,P0口吸收指令字节,而在步伐校验时,输出指令字节,校验时,要求外接上拉电阻。P1口一个带内部上拉电阻的8位双向I/O口,P1的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。Flash编程和步伐校验期间,P1吸收低8位地点。P1口部门端口引脚及功效如表1所示。表1P1口特殊功效P1口引脚特殊功效MOSI(用于ISP编程)MOSI(用于ISP编程)SCK(用于ISP编程)P2口一个带内部上拉电阻的8位双向I/O口。P1的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对端口写“1”,通过内部的上拉电阻把端口拉到高电平,此时可作输入口。作输入口使用时,因为内部存在上拉电阻,某个引脚被外部信号拉低时会输出一个电流(IIL)。在访问外部步伐存储器或16位地点的外部数据存储器时,P2口送出高8位地点数据。在访问8位地点的外部数据存储器时,P2口线上的内容在整个访问期间不改变。Flash编程和步伐校验期间,P2亦吸收低8位地点。P3口一个带内部上拉电阻的8位双向I/O口。P3的输出缓冲级可驱动(吸收或输出电流)4个TTL逻辑门电路。对P3口写“1”时,它们被内部的上拉电阻把拉到高电并可作输入端口。作输入端口使用时,被外部拉低的P3口将用上拉电阻输出电流(IIL)。P3口除了作为一般的I/O口线外,更重要的用途是它的第二功效,如表2所示。P3口还吸收一些用于Flash闪速存储器编程和步伐校验期间的控制信号。表2P3口特殊功效P3口引脚特殊功效RXD(串行输入口)TXD(串行输出口)(外部中断0)(外部中断1)T0(定时器0外部输入)T1(定时器1外部输入)(外部数据存储器写选通)(外部数据存储器读选通)PSEN步伐储存允许输出是外部步伐存储器的读先通信号,当AT89S51由外部步伐存储器取指令(或数据)时,每个呆板周期两次PSEN/有效,即输出两个脉冲。当访问外部数据存储器,没有两次有效的PSEN/信号。EA/VPP外部访问允许。欲使CPU仅访问外部步伐存储器,EA端必须保持低电平,需注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。Flash存储器编程时,该引脚加上+12V的编程电压VPP。AT24C02引脚图及其引脚功效AT24C02芯片引脚配置如下图所示:图2-3AT24C02引脚配置图AT24C02芯片DIP封装,共有8个引脚,下表是其引脚功效表:引脚名功效A0~A2地点引脚SDA/SCL连续数据信号/连续时钟信号WP写掩护引脚VCC/GND电源端/接地端WP接VSS时,禁止写入高位地点,WP接VDD时,允许写入任何地点;2.3.1AT24C02掉电存储单位的设计掉电存储单位的作用是在电源断开的时候,存储当前设定的单价信息。AT24C02是ATMEL公司的2KB字节的电可擦除存储芯片,接纳两线串行的总线和单片机通讯,电压最低可以到,额定电流为1mA,静态电流10Ua(),芯片内的资料可以在断电的情况下生存40年以上,并且接纳8脚的DIP封装,使用方便。其电路如下图:图2-4掉电存储电路原理图图中R8、R9是上拉电阻,其作用是淘汰M24C02的静态功耗,由于M24C02的数据线和地点线是复用的,接纳串口的方法传送数据,所以只用两根线SCL(移位脉冲)和SDA(数据/地点)与单片机传送数据。每当设定一次单价,系统就自动调用存储步伐,将单价信息生存在芯片内;当系统重新上电的时候,自动调用读存储器步伐,将存储器内的单价等信息,读到缓存单位中,供主步伐使用。2.4里程盘算、计价单位的设计里程盘算是通过安装在车轮上的霍尔传感器A44E检测到的信号,送到单片机,经处置惩罚盘算,送给显示单位的。其原理如下:霍尔传感器车轮小磁铁89S51单片机图2-5传感器测距示意图由于A44E属于开关型的霍尔器件,其事情电压范畴比力宽(~18V),其输出的信号切合TTL电平尺度,可以直接接到单片机的IO端口上,并且其最高检测频率可到达1MHZ。(b)图2-6集成开关型霍耳传感器原理图A44E集成霍耳开关由稳压器A、霍耳电势产生器(即硅霍耳片)B、差分放大器C、施密特触发器D和OC门输出E五个根本部门组成,如上图所示。(1)、(2)、(3)代表集成霍耳开关的三个引出端点。在输入端输入电压VCC,经稳压器稳压后加在霍耳电势产生器的两端,凭据霍耳效应原理,当霍耳片处在磁场中时,在垂直于磁场的偏向通以电流,则与这二者相垂直的偏向上将会产生霍耳电势差VH输出,该VH信号经放大器放大后送至施密特触发器整形,使其成为方波输送到OC门输出。当施加的磁场到达『事情点』(即BOP)时,触发器输出高电压(相对付地电位),使三极管导通,此时OC门输出端输出低电压,通常称这种状态为『开』。当施加的磁场到达『释放点』(即BrP)时,触发器输出低电压,三极管截止,使OC门输出高电压,这种状态为『关』。这样两次电压变更,使霍耳开关完成了一次开关行动。其集成霍耳开关外形及接线如下图:(b)图2-7集成霍耳开关外形及接线我们选择了P3.2口作为信号的输入端,内部接纳外部中断0(这样可以淘汰步伐设计的麻烦),车轮每转一圈(我们设车轮的周长是1米),霍尔开关就检测并输出信号,引起单片机的中断,对脉计数,当计数到达1000次时,也就是1公里,单片机就控制将金额自动的加增加,其盘算公式:当前单价×公里数=金额。2.5按键单位的设计电路共接纳了四个按键,S1、S2、S3、S4,其功效分别是:S1分屏显示切换按键,S2功效设定按键,S3『+』/白昼晚上切换按键,S4『-』/中途期待开关。2.6语音功效的设计语音功效主要用于语音提示:为低落计价器本钱,节省单片机I/O资源,语音功效的实现没有接纳专用语音芯片,而是由4个权电阻组成。如下图所示:图2-8语音功效电路语音信号经A/D收罗处置惩罚后压缩成4位,存储在步伐存储器中,由软件使用。步伐存储器中可存储70K的语音数据,共60个汉语词汇。3.系统的软件设计本系统的软件设计主要可分为主步伐模块、定时计数中断步伐、里程计数中断办事步伐、中途期待中断办事步伐、显示子步伐办事步伐、键盘办事步伐六大模块。下面对各部门模块作介绍。3.1系统主步伐设计在主步伐模块中,需要完成对各接口芯片的初始化、出租车起价和单价的初始化、中断向量的设计以及开中断、循环期待等事情。另外,在主步伐模块中还需要设置启动/清除标记寄存器、里程寄存器和代价寄存器,并对它们进行初始化。然后,主步伐将凭据各标记寄存器的内容,分别完成启动、清除、计程和计价等差别的操纵。其步伐流程如主步伐流程图所示。当按下S1时,就启动计价,将凭据里程寄存器中的内容盘算和判断出行驶里程是否已凌驾起价公里数。若已凌驾,则凭据里程值、每公里的单价数和起价数来盘算出当前的累计代价,并将结果存于代价寄存器中,然后将时间和当前累计代价送显示电路显示出来。当到达目的地的时候,由于霍尔开关没有送来脉冲信号,就停止计价,显示当前所应该付的金额和对应的单价,到下次启动计价时,系统自动对显示清零,并重新进行初始化历程。3.2定时中断办事步伐设计在定时中断办事步伐中,每100ms产生一次中断,当产生10次中断的时候,也就到了一秒,送数据到相应的显示缓冲单位,并调用显示子步伐实时显示。其步伐流程如定时中断办事步伐图所示。3.3里程计数中断办事步伐设计每当霍尔传感器输出一个低电平信号就使单片机中断一次,当里程计数器对里程脉冲计满1000次时,就有步伐将当前总额,使微机进入里程计数中断办事步伐中。在该步伐中,需要完成当前行驶里程数和总额的累加操纵,并将结果存入里程和总额寄存器中。其步伐流程如定时中断办事步伐图所示。3.4中途期待中断办事步伐设计当在计数状态下霍尔开关没有输出信号,片内的T1定时器便被启动,每当计时到达10分钟,就对当前金额加上中途期待的单价,以后每十分钟都自动加上中途期待的单价。当中途期待结束的时候,也就自动切换到正常的计价。3.5显示子步伐办事步伐设计由于是分屏显示数据,所以就要用到2个显示子步伐,分别是:时分秒显示子步伐(HMS_DIS)、金额单价显示子步伐(CP_DIS)。3.6键盘办事步伐设计键盘接纳查询的方法,放在主步伐中,当没有按键按下的时候,单片机循环主步伐,一旦右按键按下,便转向相应的子步伐处置惩罚,处置惩罚结束再返回。其步伐流程如图所示.是否开始掩护现场重置计数初值数据送显示缓冲单位调用显示子步伐中断10次?规复现场并中断返回图3-1步伐设计流程图测试使用的仪器或软件由于目前制作上的困难,该系统只作盘算机仿真。仿真时我们接纳VHDL对系统进行仿真。出租汽车里程计价表VHDL步伐(1)秒分频模块秒分频模块对频率为240Hz的输入脉冲进行分频,得到16Hz、15Hz、1Hz三种频率。(2)控制模块控制模块是系统的焦点部门,对计价器的状态进行控制。(3)计量模块计量模块完成计价、计时、计程功效。计价部门:当出租车的起价为5元,当里程小于3km时,按起价盘算;当里程大于3km时,按1.3元/km盘算。期待时间累计凌驾2min时,按1.5元/min盘算。计费数据送入显示译码模块进行译码,最后送至百元、十元、元、角为单位对应的数码管上显示。计时部门:盘算搭客的期待累计时间。计时器的量程为59min,满量程自动归零。计时数据送入显示译码模块进行译码,最后送至分为单位对应的数码管显示。计程部门:盘算搭客所行驶的公里数。计程器的量程为99km,满量程自动归零。计程数据送入显示译码模块进行译码,最后送至以公里为单位对应的数码管显示。(4)译码显示模块完成计价、计时、计程数据显示。4.2.2VHDL步伐设计--文件名:taxi.hd。--功效:出租汽车里程计价表。libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entitytaxiisport(clk_240:instd_logic;--频率为240Hz的时钟start:instd_logic;--计价使能信号stop:instd_logic;--期待信号fin:instd_logic;--公里脉冲信号cha3,cha2,cha1,cha0:outstd_logic_vector(3downto0);--用度数据km1,km0:outstd_logic_vector(3downto0);--公里数据min1,min0:outstd_logic_vector(3downto0));--期待时间endtaxi;architecturebehavoftaxiissignalf_15,f_16,f_1:std_logic;--频率为15Hz,16Hz,1Hz的信号signalq_15:integerrange0to15;--分频器signalq_16:integerrange0to14;--分频器signalq_1:integerrange0to239;--分频器signalw:integerrange0to59;--秒计数器signalc3,c2,c1,c0:std_logic_vector(3downto0);--制用度计数器signalk1,k0:std_logic_vector(3downto0);--公里计数器signalm1:std_logic_vector(2downto0);--分的十位计数器signalm0:std_logic_vector(3downto0);--分的个位计数器signalen1,en0,f:std_logic;--使能信号beginfeipin:process(clk_240,start)beginifclk_240'eventandclk_240='1'thenifstart='0'thenq_15<=0;q_16<=0;f_15<='0';f_16<='0';f_1<='0';f<='0';elseifq_15=15thenq_15<=0;f_15<='1';--此IF语句得到频率为15Hz的信号elseq_15<=q_15+1;f_15<='0';endif;ifq_16=14thenq_16<=0;f_16<='1';--此IF语句得到频率为16Hz的信号elseq_16<=q_16+1;f_16<='0';endif;ifq_1=239thenq_1<=0;f_1<='1';--此IF语句得到频率为1Hz的信号elseq_1<=q_1+1;f_1<='0';endif;ifen1='1'thenf<=f_15;--此IF语句得到计费脉冲felsifen0='1'thenf<=f_16;elsef<='0';endif;endif;endif;endprocess;process(f_1)beginiff_1'eventandf_1='1'thenifstart='0'thenw<=0;en1<='0';en0<='0';m1<="000";m0<="0000";k1<="0000";k0<="0000";elsifstop='1'thenifw=59thenw<=0;--此IF语句完成期待计时ifm0="1001"thenm0<="0000";--此IF语句完身分计数ifm1<="101"thenm1<="000";elsem1<=m1+1;endif;elsem0<=m0+1;endif;ifm1&m0>"0000001"thenen1<='1';--此IF语句得到en1使能信号elseen1<='0';endif;elsew<=w+1;en1<='0';endif;elsiffin='1'thenifk0="1001"thenk0<="0000";--此IF语句完成公里脉冲计数ifk1="1001"thenk1<="0000";elsek1<=k1+1;endif;elsek0<=k0+1;endif;ifk1&k0>"00000010"thenen0<='1';--此IF语句得到en0使能信号elseen0<='0';endif;elseen1<='0';en0<='0';endif;cha3<=c3;cha2<=c2;cha1<=c1;cha0<=c0;--用度数据输出km1<=k1;km0<=k0;min1<='0'&m1;min0<=m0;--公里数据、分钟数据输出endif;endprocess;process(f,start)beginifstart='0'thenc3<="0000";c2<="0001";c1<="0000";c0<="0000";elsiff'eventandf='1'thenifc0="1001"thenc0<="0000";--此IF语句完成对用度的计数ifc1="1001"thenc1<="0000";ifc2="1001"thenc2<="0000";ifc3<="1001"thenc3<="0000";elsec3<=c3+1;endif;elsec2<=c2+1;endif;elsec1<=c1+1;endif;elsec0<=c0+1;endif;endif;endprocess;endbehav;出租汽车里程计价表模块原理图标记图4-1出租汽车里程计价表模块原理图标记图步伐仿真图图4-2为出租计价器步伐仿真全图图4-3为出租计价器步伐仿真图-1图4-4为出租计价器步伐仿真图-25.结论出租车计费器系统的设计已全部完成,能按预期的效果进行模拟汽车启动、停止、暂停等功效,并设计动态扫描电路显示车费数目,由动态扫描电路来完成。车暂时停止不计费,车费保持稳定。出租车停止和启动时,分别会发出响声信号,提醒搭客注意。若停止则车费清零,期待下一次计费的开始。各模块完成后,在将它们组合成完整的出租车系统,在设计历程中还需要革新的是控制系统的纠错功效。出租车计费系统的设计中体现了VHDL笼罩面广,描述能力强,是一个多条理的硬件描述语言及PLD器件速度快,使用方便,便于修改等特点,本设计在实用方面具有一定的代价。6.参考文献[1]徐淑华.单片机微型机原理及应用[M].哈尔滨产业大学出书社.[2]马家辰.MCS-51单片机原理及接口技能[M].哈尔滨产业大学出书社.[3]邹其洪等著.VHDL/FPGA数字系统盘算机仿真实验[M].吉林科学技能出书社.[4]谢自美.电子线路设计、实验、测试[M].华中理工大学,2001.[5]陈永甫.新编555集成电路应用800例[M].北京:电子产业出书社2000.[7]邹其洪.EDA技能实验教程北京:中国电力出书社20097.心得体会经过本小组4名成员的精诚互助,为期一周的课程设计圆满完成。课程设计是我们专业课程知识综合应用于实践的训练,这是我们迈向社会,从事职业事情前一个必不可少的历程。今天认真地进行课程设计,学会实事求是迈开这一步,就是为明天能稳健地在社会大潮中奔驰打下坚固的底子。通过这次课程设计,查验和印证我所学的专业知识,也学到了许多书本上学不到的知识,使我认识到做任何事情都必须有一个清晰的思路,思路最总要。也使我认识到我们所学的知识必须运用到实际,只有运用的实际才气体现它的代价。一小我私家的力量是有限的,只有互助才气凝聚更多的力量,一个好的开始是乐成的一半,一个好的互助也是乐成的一半。在这次实验中,我和我的同伴分工明确,各尽其职,这就注定了这次课程设计的乐成。通过这次课程设计,本人在各方面都有所提高。通过这次课程设计,综合运用本专业所学课程的理论知识和生产实际相结合,培养和提高了我的独立事情能力。同时,各个相关学科都有了一次全面的温习,牢固与扩充了模拟电路、电力电子、EDA等课程所学的内容,也加深了各个学科间的融合。在此谢谢我们的苏泽光老师.,苏老师严谨细致、一丝不苟的作风一直是我学习、事情中的模范,苏老师循循善诱的辅导和不拘一格的思路赐与我无尽的启迪。在苏老师的资助下我们才气顺利的完成这次课程设计。由于本小组的设计能力有限,在设计历程中难免堕落,恳请老师们多多指教,我们十分乐意担当你们的批评与指正,本人将万分谢谢。附录1主要元器件清单代号型号及参数个数805180511S0~S5SW-PB6S6~S9SW-PB4LED0~2LED3K0SWSPST1N1,N2NOT2O1OPTOISO118279827917545175451374LS13874LS1381R0~R5RES21KΩ6R13~R18RES268Ω6R8~R10RES21KΩ3R6RES21KΩ1R11,R7,R12RES210KΩ3R19RES23KΩ174LS16474LS1646DPY_7-SEG674077407274LS37374LS3731附录2电路原理图、
本文档为【出租汽车里程计价表】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: ¥12.0 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
小吴
多年维修电工经验
格式:doc
大小:229KB
软件:Word
页数:0
分类:教育学
上传时间:2021-08-20
浏览量:69