首页 基于单片机的万年历设计课程设计

基于单片机的万年历设计课程设计

举报
开通vip

基于单片机的万年历设计课程设计物理与电子工程学院2011级本科课程设计 物理与电子工程学院2011级本科课程设计 基于单片机的万年历设计课程设计 摘 要 电子万年历是一种非常广泛的日常计时工具,它不仅能够对时间技术,还能够对日期、温度、湿度等进行显示,所以在现代社会受到广泛应用。 本设计是一个基于AT89C51单片机的多功能日历显示系统,本设计能显示公历年、月、日,以及时、分、秒、温度、星期等信息,而且还具有日期调整、时间校准以及温度采集等功能。系统所用的时钟日历芯片DS1302和数字式温度传感器DS18B20具有高性能、低功耗、接...

基于单片机的万年历设计课程设计
物理与电子 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 学院2011级本科课程设计 物理与电子工程学院2011级本科课程设计 基于单片机的万年历设计课程设计 摘 要 电子万年历是一种非常广泛的日常计时工具,它不仅能够对时间技术,还能够对日期、温度、湿度等进行显示,所以在现代社会受到广泛应用。 本设计是一个基于AT89C51单片机的多功能日历显示系统,本设计能显示公历年、月、日,以及时、分、秒、温度、星期等信息,而且还具有日期调整、时间校准以及温度采集等功能。系统所用的时钟日历芯片DS1302和数字式温度传感器DS18B20具有高性能、低功耗、接口简单的特点,使本系统电路简化,编程方便,同时功能也很强。采用AT89C51单片机的万年历系统可以很好的改善传统采用模拟电路引起的计时不准确,不可靠,一致性差等问题。 本文设计是用单片机为主控制,通过电路仿真而实现的。在Proteus7软件绘制硬件电路原理图,用Keil软件进行编程与调试,最终生成hex文件,载入单片机,从而实现仿真效果。 本文设计经过最终调试,能够正确显示年、月、日、周、时、分、秒以及温度等所需信息,并能正常使用对日期与时间的调整与校正功能。系统使用1602LCD液晶屏显示信息,界面简洁、直观、易于操作。 关键词:万年历;单片机;AT89C51;DS1302;DS18B20 目 录 11 引言 11.1研究的目的和意义 11.2本系统主要研究的内容 22 系统 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 论证 22.1控制部分的选择方案与论证 22.2显示部分的选择方案与论证 22.3时钟芯片的选择方案与论证 32.4温度传感器的选择方案与论证 32.5电路设计最终方案系统原理及总体结构图 43 系统设计 43.1 系统硬件仿真原理图 43.2 单片机89C51控制模块的设计 73.3 LCD液晶显示模块设计 93.4 DS1302时钟模块的设计 123.5 DS18B20温度采集模块的设计 154 系统调试 154.1硬件调试 154.2软件调试 155 结论 16参 考 文 献 17附 录 1 引言 人类的日常生活离不开时间,任何具有周期变化的自然现象都可用来测量时间。而现在人们日常生活中广泛使用的是机械表、电子表、电子中等。 随着科技的发展,电子技术和计算机应用领域不断扩大,特别是单片机的出现,是近代计算机技术发展史上的一个重要里程碑。基于单片机的万年历结合了时钟和日立的功能,将其二者融为一体,在现实时间的同时还能显示日期和年月,它主要通过单片机来读取时钟芯片的时间、日期,然后送给显示设备显示出来。 本系统的万年历除了显示年月日外还能准确显示温度它所处环境的温度。本设计采用的测温元件是美国DALLAS半导体公司生产的一种智能温度传感器DS18B21,测温范围为-55~125C,最高分辨率达到0.0625C。 1.1研究的目的和意义 随着电子技术的迅速发展,特别是大规模集成电路出现,给人类生活带来了根本性的变化,尤其是单片机技术的应用产品已经走进了千家万户。近年来,我国科技不断发展,我国经济发展的支柱产业——电子产业获得长足发展,各种电子产品琳琅满目,随处可见,随着电子产品的更新速度的加快,各种功能强大,款式新颖的电子产品不断问世。 万年历便是这一发展趋势中的代表,万年历则顺应了人们对时间方面的要求。它的出现给人们的生活带来的诸多方便,在时间极显宝贵的现代生活中,起作用更是不言而喻的。他在学校、车站、码头、剧院、医院、办公室等公共场所的应用非常广泛。但传统的万年历除了显示时间之外,功能较为单一,逐渐失去了市场。顺应技术发展和人们生产生活需求,各种功能的新式万年历不断涌现,且功能不断更新。 万年历作为电子类的小产品以其方便、实用等优势成为市场上的宠儿,同时也成为单片机设计培训中一个很实用的课题。因为这个课题有很好的开发性和可发挥性,因此对设计者的要求比较高,不仅考察了队单片机的掌握能力更加强调了对单片机扩展的应用。而且要求设计的万年历在操作上力求简洁,功能上尽量齐全,显示界面也要出色。所以万年历不论从实用角度还是培养能力角度都很有价值。 1.2本系统主要研究的内容 本系统的主要研究内容是: (1)选用电子万年历芯片时,应重点考虑功能实在、使用方便、单片存储、低功耗、抗断电的器件。 (2)根据选用的电子万年历芯片设计外围电路和单片机的接口电路。 (3)在硬件设计时,结构要尽量简单实用,易于实现,是系统电路尽量简单。 (4)根据硬件电路,编写控制AT89C51芯片的单片机程序。 (5)通过编程、编译、调试,把程序下载到单片机上运行,并实现本设计的功能。 2 系统方案论证 2.1控制部分的选择方案与论证 方案一:用可编程逻辑器件设计 可采用PLD器件,设计起来结构清晰,各个模块从硬件上设计起来相对简单,控制与显示的模块间连接也会比较方便。但是考虑到本设计的特点,EDA在能够扩展上比较受局限,占用的资源也多。从成本上讲,可编程逻辑器件价格比较高。 方案二:用单片机设计 用 单片机芯片作为控制部分,单片机有丰富的中断源,它的准确度相当高,并且C语言的灵活运用,给编程带来了方便。单片机I/O功能也比较强大,容易对其进行扩展,使设计更加完善,此外单片机的成本也比较低。 综上所述, 资源丰富,程序编写也灵活简单,可移植性强,性价比也高,所以选择 作为主控芯片。 2.2显示部分的选择方案与论证 方案一:采用点阵式数码管显示 点阵式数码管是由八行八列的发光二极管组成,对于显示文字比较合适,但是基于电子万年历主要是显示数字,就显得太浪费了,且价格相对较高。 方案二:采用LCD液晶显示屏 LCD液晶显示屏的显示功能特别强大,可以显示大量文字、图形,显示多样清晰可见,使得万年历的内容更加丰富,加上动态显示效果,更加吸引观众的眼球。 2.3时钟芯片的选择方案与论证 方案一:直接采用单片机定时计数器 直接采用单片机定时计数器提供时间单位秒,使用程序实现年、月、日、星期、时、分、秒计数。采用此种方案虽然减少芯片的使用,节约成本,但是,实现时间误差较大,而且不具有实时性,必须用到断电存贮资料,否则一断电,时钟就不会准确,误差太大。 方案二:采用DS1302时钟芯片实现时钟 DS1302时钟芯片是一种高性能的时钟芯片,可自动对秒、时、分、日、周、月、年以及闰年补偿的年进行计数,而且精度高的RAM作为数据暂存区,工作电压2.5V~5.5V范围内,2.5V时耗电小于300mA。此外可以外接电池,具有实时性,断电后时间还会走,比较精准。 2.4温度传感器的选择方案与论证 方案一:使用热敏电阻作为传感器 用热敏电阻与一个相应该阻值相串联分压,用热敏电阻随温度变化的特性,采集这两个电阻变化的分压值,并用A/D转换。此设计方案需用A/D转换电路,增加硬件成本而且热敏电阻的温性曲线并不是严格线性的,会产生较大测量误差。 方案二:采用数字式温度传感器DS18B20 此类传感器为数字式传感器,而且仅需要一条数据线进行数据传输,易于与单片机连接,可以去除A/D模块,降低硬件成本,简化系统电路,测量温度精度高。 2.5电路设计最终方案系统原理及总体结构图 本系统包括主控模块,显示模块,时钟模块,温度采集模块,综上各方案所述,电路设计最终方案:采用 作为主控制系统,1602LCD液晶作为显示部分,DS1302作为时钟模块,DS18B20传感器来采集温度信息。 根据上述电路设计最终方案,系统总体设计方案如图2-1所示 设计的电路主要由四大模块构成:温度传感器电路,单片机控制电路,显示电路以及校正电路。 图2-1 系统总体结构图 当温度传感器接受到外面的信号,送入单片机,单片机将接受到的信号输出,让它在液晶上显示。 同时由单片机控制的万年历以及时间显示,当时间及秒计数计满60时就向分进位,分计数器计满60分后向时计数器进位,小时计数器按“24翻1”规律计数。时、分、秒的计数结果经过数据处理可直接送显示器显示。当计时发生误差的时候可以用校时电路进行校正。时计数器计满24小时后自动向日计数器进一,日计数器由平年、闰年的28/30/31对大、小月和二月的判断应与当月相应的日期相一致,当日计数器计满时,向月计数器进位,月计数器计满12月向年计数器进位, 当年计数器计满100时所以计数器清零。设计采用的是年、月、日和时、分、星期显示,所以在单片机通过对数据处理进行同时在液晶上显示。 3 系统设计 3.1 系统硬件仿真原理图 本次设计是在Proteus软件绘制的硬件图,如下图3-1所示 图3-1 硬件仿真原理图 3.2 单片机89C51控制模块的设计 AT89C51是美国ATMEL公司生产的低电压、高性能CMOS8位单片机,片内含4KB的可反复擦除的只读程序存储器(PEROM)和128B随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产兼容 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 MCS-51指令系统,片内置通用8位中央处理器(CPU)和FLASH存储单元,功能强大AT89C51单片机可为您提供许多高性价比的应用场合。 主要性能参数: ①与MCS-51产品指令系统完全兼容 ②4K字节可重擦写FLASH闪速存储器 ③1000次擦写周期 ④全静态操作:0HZ-24MHZ ⑤三级加密程序存储器 ⑥128 8字节内部RAM ⑦32个可编程I/O口线 ⑧2个16位定时/计数器 ⑨6个中断源 ⑩可编程串行UART通道 图3-2 AT89C51 引脚封装图 芯片引脚介绍: (1)主电源引脚 ① VCC:+5 V电源 ② VSS:地线。 (2)时钟电路引脚 XTAL1和XTAL2:外接晶体引线端。当使用芯片内部时钟时,此二引线端用于外接石英晶体和微调电容;当使用外部时钟时,用于接外部时钟脉冲信号。 (3)控制信号引脚 ① RST/VPD:复位信号。当输入的复位信号延续两个机器周期以上的高电平时即为有效,用以完成单片机的复位初始化操作;当单片机掉电时,此引脚上可接备用电源,由VPD向片内RAM提供备用电源,一保持片内RAM中的数据不丢失。 ② ALE/PROG:地址锁存控制信号。在系统扩展时,ALE用于控制把P0口输出的低8位地址锁存起来,以实现低位地址和数据的隔离。此外,由于ALE是以晶振1/6的固定频率输出的正脉冲,因此,可作为外部时钟或外部定时脉冲使用。 对于EPROM型单片机,在EPRAM编程期间,此引脚接收编程脉冲。 ③ PSEN:片外程序存储器读选通信号输出端。 ④ EA/VPP:访问程序存储控制信号。当EA信号为低电平时,对ROM的读操作限定在外部程序存储器;当EA信号为高电平时,对ROM的读操作是从内部程序存储器开始,并可延至外部程序存储器。 对于EPROM型单片机,在EPRAM编程期间,此引脚接上加21V EPROM编程电源VPP。 (4)I/O引脚 P0.0 ~ P0.7: P0口8位双向口线,P1.0 ~ P1.7 :P1口8位双向口线, P2.0 ~ P2.7 :P2口8位双向口线,P3.0 ~ P3.7 :P3口8位双向口线。 P3口线的第二功能。P3的8条口线都定义有第二功能,详见表3-21。 表3-1 P3口各引脚与第二功能表 引脚 第二功能 信号名称 P3.0 RXD 串行数据接收 P3.1 TXD 串行数据发送 P3.2 INT0 外部中断0申请 P3.3 INT1 外部中断1申请 P3.4 T0 定时/计数器0的外部输入 P3.5 T1 定时/计数器1的外部输入 P3.6 WR 外部RAM写选通 P3.7 RD 外部RAM读选通 以上把8051单片机的全部信号引脚分别以第一功能和第二功能的形式列出。对于各种型号的芯片,其引脚的第一功能信号是相同的,所不同的只在引脚的第二功能信号。对于9、30和31三个引脚,由于第一功能信号与第二功能信号是单片机在不同工作方式下的信号,因此不会发生使用上的矛盾。但是P3口的情况却有所不同,它的第二功能信号都是单片机的重要控制信号。因此,在实际使用时,都是先按需要选用第二功能信号,剩下的才以第一功能的身份作数据位的输入/输出使用。 图3-3 单片机控制模块 3.3 LCD液晶显示模块设计 显示模块主要是用来显示公历年、月、日以及时、分、秒、温度、星期等信息。LCD智能型显示模块则是一种低功耗、低价值、低损耗的显示器件,它不但可以显示各式各样的字符、汉子和图形,同时具有可编程能力,且与单片机接口方便,基于以上优点,LCD智能型显示模块获得了广泛的应用。1602LCD点阵液晶显示模块是由16*2个液晶显示点组成的一个16列*2行的阵列。每个显示点对应一位二进制数,1表示亮,0表示灭。存储这些点阵信息的RAM称为显示数据存储器,要显示某个图形或汉字就是将相应的点阵信息写入到相应的存储单元中。图形或汉字的点阵信息当然有自己设计,问题的关键就是显示点在液晶屏上的位置(行或列)与其在存储器中的地址之间的关系。每个存储单元存储8个液晶点的显示信息。 下面是关于1602LCD液晶相关信息: (1)引脚说明如下表所示 表3-2 1602LCD引脚说明 引脚 符号 功能说明 1 VSS 一般接地 2 VDD 接电源(+5V) 3 V0 液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。 4 RS RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存器。 5 R/W R/W为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。 6 E E(或EN)端为使能(enable)端,下降沿使能。 7 DB0 底4位三态、 双向数据总线 0位(最低位) 8 DB1 底4位三态、 双向数据总线 1位 9 DB2 底4位三态、 双向数据总线 2位 10 DB3 底4位三态、 双向数据总线 3位 11 DB4 高4位三态、 双向数据总线 4位 12 DB5 高4位三态、 双向数据总线 5位 13 DB6 高4位三态、 双向数据总线 6位 14 DB7 高4位三态、 双向数据总线 7位(最高位)(也是busy flag) 15 BLA 背光电源正极 16 BLK 背光 电源负极 ①请在DB0~DB7数据线中加入10K上拉电阻,特别是使用单片机中的三态I/O口时。 ② V0需要一个外部的0V~10V负压输入,是液晶显示,可串入电位器调整液晶灰度。 (2) 下面为1602LCD的指令集表: 表3-3 1602LCD指令集 3.4 DS1302时钟模块的设计 DS1302 是美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟电路,它可以对年、月、日、周日、时、分、秒进行计时,具有闰年补偿功能,工作电压为2.5V~5.5V。采用三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号或RAM数据。DS1302内部有一个31×8的用于临时性存放数据的RAM寄存器。DS1302是DS1202的升级产品,与DS1202兼容,但增加了主电源/后备电源双电源引脚,同时提供了对后备电源进行涓细电流充电的能力。 ⑴ DS1302的引脚排列 其中Vcc1为后备电源,VCC2为主电源。在主电源关闭的情况下,也能保持时钟的连续运行。DS1302由Vcc1或Vcc2两者中的较大者供电。当Vcc2大于Vcc1+0.2V时,Vcc2给DS1302供电。当Vcc2小于Vcc1时,DS1302由Vcc1供电。X1和X2是振荡源,外接32.768kHz晶振。RST是复位/片选线,通过把RST输入驱动置高电平来启动所有的数据传送。RST输入有两种功能:首先,RST接通控制逻辑,允许地址/命令序列送入移位寄存器;其次,RST提供终止单字节或多字节数据的传送手段。当RST为高电平时,所有的数据传送被初始化,允许对DS1302进行操作。如果在传送过程中RST置为低电平,则会终止此次数据传送,I/O引脚变为高阻态。上电运行时,在Vcc>2.0V之前,RST必须保持低电平。只有在SCLK为低电平时,才能将RST置为高电平。I/O为串行数据输入输出端(双向),后面有详细说明。SCLK为时钟输入端。 下图为DS1302的引脚功能图: 图3-4 DS1302的引脚功能图 ⑵ DS1302的控制字节 DS1302 的控制字如表3-4所示。控制字节的最高有效位(位7)必须是逻辑1,如果它为0,则不能把数据写入DS1302中,位6如果为0,则表示存取日历时钟数据,为1表示存取RAM数据;位5至位1指示操作单元的地址;最低有效位(位0)如为0表示要进行写操作,为1表示进行读操作,控制字节总是从最低位开始输出。 表3-4 DS1302的控制字格式 ⑶ DS1302数据的输入输出 在控制指令字输入后的下一个SCLK时钟的上升沿时,数据被写入DS1302,数据输入从低位即位0开始。同样,在紧跟8位的控制指令字后的下一个SCLK脉冲的下降沿读出DS1302的数据,读出数据时从低位0位到高位7。 图3-5 DS1302读写时序图 ⑷ DS1302的寄存器 DS1302有12个寄存器,其中有7个寄存器与日历、时钟相关,存放的数据位为BCD码形式,其日历、时间寄存器及其控制字见下表。 此外,DS1302 还有年份寄存器、控制寄存器、充电寄存器、时钟突发寄存器及与RAM相关的寄存器等。时钟突发寄存器可一次性顺序读写除充电寄存器外的所有寄存器内容。 DS1302与RAM相关的寄存器分为两类:一类是单个RAM单元,共31个,每个单元组态为一个8位的字节,其命令控制字为C0H~FDH,其中奇数为读操作,偶数为写操作;另一类为突发方式下的RAM寄存器,此方式下可一次性读写所有的RAM的31个字节,命令控制字为FEH(写)、FFH(读)。 表3-5 DS1302的日历、时钟寄存器及其控制字 寄存器 名 命令字 取值 范围 各位内容 写操作 读操作 7 6 5 4 3 2 1 0 秒寄存器 80H 81H 00-59 CH 10SEC SEC 分钟寄存器 82H 83H 00-59 0 10MIN MIN 小时 寄存器 84H 85H 01- 12或 00-23 12/ 24 0 10 AP HR HR 日期 寄存器 86H 87H 01-28,29, 30,31 0 0 10DATE DATE 月份寄存器 88H 89H 01-12 0 0 0 IOM MONTH 周日寄存器 8AH 8BH 01-07 0 0 0 0 0 DAY 年份寄存器 8CH 8DH 00-99 10YEAR YEAR DS1302时钟芯片与AT89C51的连接图如下: 图3-6 DS1302时钟芯片与AT89C51的连接图 3.5 DS18B20温度采集模块的设计 采用数字式温度传感器DS18B20,有DALLAS半导体公司生产的DS18B20型单线智能温度传感器,属于新一代适配微处理器的智能温度传感器,可广泛用于工业、民用、军事领域的温度测量及控制仪器、测量系统和大型设备中。 (1)DS18B20的性能特点可归纳如下: 1)独特的单线接口仅需一个端口引脚进行通信; 2)DS18B20支持多点组网功能,多个DS18B20可以并联在唯一的三线上,实现组网多点测温; 3)DS18B20在使用中不需要任何外围元件,全部 传感元件及转换电路集成在形如一只三极管的集成电路内; 4)测温范围-55℃~+125℃,在-10~+85℃时精度为±0.5℃; 5)可编程的分辨率为9~12位,对应的可分辨温度分别为0.5℃、0.25℃、0.125℃和0.0625℃,可实现高精度测温; 6)在9位分辨率时最多在 93.75ms内把温度转换为数字,12位分辨率时最多在750ms内把温度值转换为数字,速度更快; 7)测量结果直接输出数字温度信号,以"一 线总线"串行传送给CPU,同时可传送CRC校验码,具有极强的抗干扰纠错能力; 8)负压特性:电源极性接反时,芯片不会因发热而烧毁, 但不能正常工作。 (2)电路设计 如下图所示。采用数字式温度传感器DS18B20,它是数字式温度传感器,具有测量精度高,电路连接简单等特点,此类传感器仅需要一条数据线进行数据传输,使用AT89C51单片机芯片的P2.7端口与DS18B20的I/O口连接,加一个上拉电阻,Vcc接电源,Vss接地。 图3-7 DS18B20温度采集 (3)DS18B20工作时序 1.复位时序图 图3-8 复位时序图 2.读时序图 图3-9 读时序图 3.写时序图 图3-10 写时序图 4 系统调试 4.1硬件调试 硬件调试是测试焊接完成后的成品的硬件电路的功能,发现及排除相关故障,主要包括主控芯片的调试以及各模块电路的调试。 由于本次设计仅仅处于软件仿真阶段,并没有去设计焊接电路,故该部分略。在不久的毕业设计论文中一定会完善该部分。 4.2软件调试 本设计的软件编译是在Keil uVision4上进行的,此软件可以生成HEX文件用于下载到单片机上工作。生成HEX文件后可以在PROTEUS上进行仿真调试。 5 结论 经过将近三周的时间,课程设计终于完成了,心里顿时轻松不少,这段时间经历了从茫然不知从哪里下手到收集资料,小组讨论,有了些头绪再到理出思路,开始设计各部分电路,再到调试解决各个问题,期间经过老师的指导又修改电路,最后完成整个电路设计,心情也跟着起起伏伏,有调试成功的喜悦,有试了好多次却不知道哪儿出了问题的迷惑,也有突然有了新想法想尝试的冲动,总之,从畏惧渐渐喜欢上了设计,从设计中学到了好多实用的知识。 参 考 文 献 [1] 蔡美琴等.MCS-51系列单片机系统极其应用.北京:高等教育出版社,2004 [2] 林伸茂.8051单片机彻底研究实习篇.北京:人民邮电出版社,2004 [3] 胡学海.单片机原理极其应用系统设计.北京:电子工业出版社,2005 [4] 张毅刚.单片机原理极其应用.哈尔滨:哈尔滨工业大学出版社,2004 [5] 韩志军等.单片机应用系统设计.北京:机械工业出版社,2005 [6] 舒怀林.单片机原理与接口技术.武汉:华中科技大学出版社,2001 附 录 课程设计中的程序如下: #include #include #include "SoundPlay.h" #define uchar unsigned char #define uint unsigned int sbit SCK=P3^6; //时钟 sbit SDA=P3^4; //数据 sbit RST=P3^5; // DS1302复位 sbit lcdrs=P2^6; sbit lcdrw=P2^5; sbit lcden=P2^7; sbit key1=P1^0; //开调时键 sbit key2=P1^1; //调时加键 sbit key3=P1^2; //调时减键 sbit key4=P1^3; //开设定闹铃键 sbit key5=P1^4; //返回正常显示键 sbit key6=P1^5; //闹铃开关键 sbit DQ=P3^7; //温度传感器数据通信位 sbit beep = P2^1; //蜂鸣器控制IO口 sbit led1 = P2^0; //led灯,闹铃响标志 bit ReadRTC_Flag,Display_Flag,Alarm_Flag,flag; //定义标志位 uchar miao,fen,shi,ri,yue,week,nian,T,C=0,k=0,m=0,n=0; uchar set_miao,set_fen,set_shi,set_ri,set_yue,set_nian,TempBuffer[5],temp_value; char l_tmpdate[7]={0x50,0x08,0x011,0x07,0x10,0x01,0x12};//设置初始时间,秒分时日月周年12-10-07 01:08:50 uchar code write_rtc_address[7]={0x80,0x82,0x84,0x86,0x88,0x8a,0x8c}; //秒分时日月周年 最低位写位 uchar code read_rtc_address[7]={0x81,0x83,0x85,0x87,0x89,0x8b,0x8d}; //秒分时日月周年 最低位读位 uchar code table1[]="SUN"; //星期字表 uchar code table2[]="MON"; uchar code table3[]="TUE"; uchar code table4[]="WED"; uchar code table5[]="THU"; uchar code table6[]="FRI"; uchar code table7[]="SAT"; uchar code tab1[]="20 - - "; uchar code tab2[]=" : :"; uchar code tab3[14]=" Set Alarm! "; char tab4[]={0,0,12}; //两只蝴蝶 unsigned char code Music_Two[] ={ 0x17,0x03, 0x16,0x03, 0x17,0x01, 0x16,0x03, 0x17,0x03, 0x16,0x03, 0x15,0x01, 0x10,0x03, 0x15,0x03, 0x16,0x02, 0x16,0x0D, 0x17,0x03, 0x16,0x03, 0x15,0x03, 0x10,0x03, 0x10,0x0E, 0x15,0x04, 0x0F,0x01, 0x17,0x03, 0x16,0x03, 0x17,0x01, 0x16,0x03, 0x17,0x03, 0x16,0x03, 0x15,0x01, 0x10,0x03, 0x15,0x03, 0x16,0x02, 0x16,0x0D, 0x17,0x03, 0x16,0x03, 0x15,0x03, 0x10,0x03, 0x15,0x03, 0x16,0x01, 0x17,0x03, 0x16,0x03, 0x17,0x01, 0x16,0x03, 0x17,0x03, 0x16,0x03, 0x15,0x01, 0x10,0x03, 0x15,0x03, 0x16,0x02, 0x16,0x0D, 0x17,0x03, 0x16,0x03, 0x15,0x03, 0x10,0x03, 0x10,0x0E, 0x15,0x04, 0x0F,0x01, 0x17,0x03, 0x19,0x03, 0x19,0x01, 0x19,0x03, 0x1A,0x03, 0x19,0x03, 0x17,0x01, 0x16,0x03, 0x16,0x03, 0x16,0x02, 0x16,0x0D, 0x17,0x03, 0x16,0x03, 0x15,0x03, 0x10,0x03, 0x10,0x0D, 0x15,0x00, 0x19,0x03, 0x19,0x03, 0x1A,0x03, 0x1F,0x03, 0x1B,0x03, 0x1B,0x03, 0x1A,0x03, 0x17,0x0D, 0x16,0x03, 0x16,0x03, 0x16,0x0D, 0x17,0x01, 0x17,0x03, 0x17,0x03, 0x19,0x03, 0x1A,0x02, 0x1A,0x02, 0x10,0x03, 0x17,0x0D, 0x16,0x03, 0x16,0x01, 0x17,0x03, 0x19,0x03, 0x19,0x03, 0x17,0x03, 0x19,0x02, 0x1F,0x02, 0x1B,0x03, 0x1A,0x03, 0x1A,0x0E, 0x1B,0x04, 0x17,0x02, 0x1A,0x03, 0x1A,0x03, 0x1A,0x0E, 0x1B,0x04, 0x1A,0x03, 0x19,0x03, 0x17,0x03, 0x16,0x03, 0x17,0x0D, 0x16,0x03, 0x17,0x03, 0x19,0x01, 0x19,0x03, 0x19,0x03, 0x1A,0x03, 0x1F,0x03, 0x1B,0x03, 0x1B,0x03, 0x1A,0x03, 0x17,0x0D, 0x16,0x03, 0x16,0x03, 0x16,0x03, 0x17,0x01, 0x17,0x03, 0x17,0x03, 0x19,0x03, 0x1A,0x02, 0x1A,0x02, 0x10,0x03, 0x17,0x0D, 0x16,0x03, 0x16,0x01, 0x17,0x03, 0x19,0x03, 0x19,0x03, 0x17,0x03, 0x19,0x03, 0x1F,0x02, 0x1B,0x03, 0x1A,0x03, 0x1A,0x0E, 0x1B,0x04, 0x17,0x02, 0x1A,0x03, 0x1A,0x03, 0x1A,0x0E, 0x1B,0x04, 0x17,0x16, 0x1A,0x03, 0x1A,0x03, 0x1A,0x0E, 0x1B,0x04, 0x1A,0x03, 0x19,0x03, 0x17,0x03, 0x16,0x03, 0x0F,0x02, 0x10,0x03, 0x15,0x00, 0x00,0x00 }; /******************************************************************/ /* 函数声明 */ /******************************************************************/ void Init_DS18B20(void); unsigned char ReadOneChar(void); void WriteOneChar(uchar dat); void ReadTemp(void); void temp_to_str(); //温度数据转换成液晶字符显示 void Write_Ds1302_byte(uchar temp); void Write_Ds1302( uchar address,uchar dat ); uchar Read_Ds1302 ( uchar address ); void Read_RTC(void); void Set_RTC(void); void delay(uchar a); void delay1(uchar a); void di(); void lcd_mang(); void write_lcd1602(uchar cmd,uchar i); void ini_lcd1602(); void write_week(uchar xq); void write_nyr(uchar add,uchar dat); void write_sfm(uchar add,uchar dat); void display(); void keyscan(); void alarm(); /******************************************************************/ /* 主函数 */ /******************************************************************/ void main(void) { ini_lcd1602(); Init_DS18B20(); Set_RTC(); //写入时钟值,如果使用备用电池时候,不需要没每次上电写入,此程序应该屏蔽 while(1) { ReadTemp(); temp_to_str(); keyscan(); if(ReadRTC_Flag==0) { Read_RTC(); if(Display_Flag==0) { display(); } if(flag==1) { alarm(); } } } } /******************************************************************/ /* 毫秒级延时函数 */ /******************************************************************/ void delay(uchar a) { uchar i; while(a--) { for(i=0;i<250;i++) { _nop_(); _nop_(); _nop_(); _nop_(); } } } void delay1(uchar a) { uchar i; while(a--) { for(i=0;i<5;i++) {} } } /******************************************************************/ /* 蜂鸣器发声函数 */ /******************************************************************/ void di() { uchar i; while(key1==0||key2==0||key3==0||key4==0||key5==0||key6==0) { for(i=0;i<50;i++) { delay1(4); beep=~beep; } } } /******************************************************************/ /* ds18b20延迟子函数(晶振12MHz) */ /******************************************************************/ void delay_18B20(unsigned int i) { while(i--); } /******************************************************************/ /* ds18b20初始化函数 */ /******************************************************************/ void Init_DS18B20(void) { unsigned char x=0; DQ = 1; //DQ复位 delay_18B20(8); //稍做延时 DQ = 0; //单片机将DQ拉低 delay_18B20(80); //精确延时 大于 480us DQ = 1; //拉高总线 delay_18B20(14); x = DQ; //稍做延时后 如果x=0则初始化成功 x=1则初始化失败 delay_18B20(20); DQ = 1; } /******************************************************************/ /* ds18b20读一个字节 */ /******************************************************************/ unsigned char ReadOneChar(void) { uchar i=0; uchar dat = 0; for (i=8;i>0;i--) { DQ = 0; // 给脉冲信号 dat>>=1; DQ = 1; // 给脉冲信号 if(DQ) dat|=0x80; delay_18B20(4); } return(dat); } /******************************************************************/ /* ds18b20写一个字节 */ /******************************************************************/ void WriteOneChar(uchar dat) { unsigned char i=0; for (i=8; i>0; i--) { DQ = 0; DQ = dat&0x01; delay_18B20(5); DQ = 1; dat>>=1; } } /******************************************************************/ /* 读取ds18b20当前温度 */ /******************************************************************/ void ReadTemp(void) { unsigned char a=0; unsigned char b=0; unsigned char t=0; Init_DS18B20(); WriteOneChar(0xCC); // 跳过读序号列号的操作 WriteOneChar(0x44); // 启动温度转换 delay_18B20(100); // this message is wery important Init_DS18B20(); WriteOneChar(0xCC); //跳过读序号列号的操作 WriteOneChar(0xBE); //读取温度寄存器等(共可读9个寄存器) 前两个就是温度 delay_18B20(100); a=ReadOneChar(); //读取温度值低位 b=ReadOneChar(); //读取温度值高位 temp_value=b<<4; temp_value+=(a&0xf0)>>4; } /******************************************************************/ /* 温度数据转换 */ /******************************************************************/ void temp_to_str() //温度数据转换成液晶字符显示 { TempBuffer[0]=temp_value/10+'0'; //十位 TempBuffer[1]=temp_value%10+'0'; //个位 //TempBuffer[2]=0xdf; //温度符号 //TempBuffer[3]='C'; //TempBuffer[4]='\0'; } /******************************************************************/ /* LCD1602判忙函数 */ /******************************************************************/ void lcd_mang() { lcdrs=0; lcdrw=1; lcden=1; _nop_(); _nop_(); _nop_(); _nop_(); while(P0&0x80); lcden=0; } /******************************************************************/ /* LCD1602写指令(i=0)、数据(i=1)函数 */ /******************************************************************/ void write_lcd1602(uchar cmd,uchar i) { lcd_mang(); lcdrs=i; lcdrw=0; lcden=0; _nop_(); _nop_(); lcden=1; _nop_(); _nop_(); P0=cmd; _nop_(); _nop_(); _nop_(); _nop_(); lcden=0; } /******************************************************************/ /* LCD1602初始化函数 */ /******************************************************************/ void ini_lcd1602() { uchar i; led1=1; Alarm_Flag=0; write_lcd1602(0x38,0); delay(1); write_lcd1602(0x0c,0); delay(1); write_lcd1602(0x06,0); delay(1); write_lcd1602(0x01,0); delay(1); write_lcd1602(0x80+1,0); for(i=0;i<8;i++) { write_lcd1602(tab1[i],1); } write_lcd1602(0x80+0x40+2,0); for(i=0;i<6;i++) { write_lcd1602(tab2[i],1); } write_lcd1602(0x80+0x40+14,0); write_lcd1602(0xdf,1); write_lcd1602('C',1); } /******************************************************************/ /* BCD转换成LCD1602能显示的16进制数,秒、分、时 */ /******************************************************************/ void write_sfm(uchar add,uchar dat) { uchar gw,sw; gw=dat%10; sw=dat/10; write_lcd1602(0x80+0x40+add,0); write_lcd1602(sw+'0',1); write_lcd1602(gw+'0',1); } /******************************************************************/ /* BCD转换成LCD1602能显示的16进制数,日、月、年 */ /******************************************************************/ void write_nyr(uchar add,uchar dat) { uchar gw,sw; gw=dat%10; sw=dat/10; write_lcd1602(0x80+add,0); write_lcd1602(sw+'0',1); write_lcd1602(gw+'0',1); } /******************************************************************/ /* 显示星期 */ /******************************************************************/ void write_week(uchar xq) { uchar x; write_lcd1602(0x80+12,0); switch(xq) { case 1: for(x=0;x<3;x++) { write_lcd1602(table1[x],1); } break; case 2: for(x=0;x<3;x++) { write_lcd1602(table2[x],1); } break; case 3: for(x=0;x<3;x++) { write_lcd1602(table3[x],1); } break; case 4: for(x=0;x<3;x++) { write_lcd1602(table4[x],1); } break; case 5: for(x=0;x<3;x++) { write_lcd1602(table5[x],1); } break; case 6: for(x=0;x<3;x++) { write_lcd1602(table6[x],1); } break; case 7: for(x=0;x<3;x++) { write_lcd1602(table7[x],1); } break; } write_lcd1602(0x80+12,0); } /******************************************************************/ /* 显示函数 */ /******************************************************************/ void display() { uchar i; Read_RTC(); write_sfm(8,miao); write_sfm(5,fen); write_sfm(2,shi); write_nyr(3,nian); write_nyr(6,yue); write_nyr(9,ri); write_week(week); ReadTemp(); temp_to_str(); write_lcd1602(0x80+0x40+12,0); for(i=0;i<2;i++) { write_lcd1602(TempBuffer[i],1); } } /******************************************************************/ /* DS1302写一个字节 */ /******************************************************************/ void Write_Ds1302_Byte(uchar temp) { uchar i; for (i=0;i<8;i++) //循环8次 写入数据 { SCK=0; SDA=temp&0x01; //每次传输低字节 temp>>=1; //右移一位 SCK=1; } } /******************************************************************/ /* 写入DS1302 */ /******************************************************************/ void Write_Ds1302( uchar address,uchar dat ) { RST=0; _nop_(); SCK=0; _nop_(); RST=1; _nop_(); //启动 Write_Ds1302_Byte(address); //发送地址 Write_Ds1302_Byte(dat); //发送数据 RST=0; //恢复 } /******************************************************************/ /* 读出DS1302数据 */ /******************************************************************/ uchar Read_Ds1302 ( uchar address ) { uchar i,temp=0x00; RST=0; _nop_(); _nop_(); SCK=0; _nop_(); _nop_(); RST=1; _nop_(); _nop_(); Write_Ds1302_Byte(address); for (i=0;i<8;i++) //循环8次 读取数据 { if(SDA) temp|=0x80; //每次传输低字节 SCK=1; temp>>=1; //右移一位 _nop_(); _nop_(); _nop_(); SCK=0; } RST=0; _nop_(); //以下为DS1302复位的稳定时间 _nop_(); RST=0; SCK=0; _nop_(); _nop_(); _nop_(); _nop_(); SCK=1; _nop_(); _nop_(); SDA=0; _nop_(); _nop_(); SDA=1; _nop_(); _nop_(); return (temp); //返回 } /******************************************************************/ /* 读时钟数据 */ /******************************************************************/ void Read_RTC(void) //读取 日历 { uchar i,*p; p=read_rtc_address; //地址传递 for(i=0;i<7;i++) //分7次读取 秒分时日月周年 { l_tmpdate[i]=Read_Ds1302(*p); p++; miao=((l_tmpdate[0]&0x70)>>4)*10 + (l_tmpdate[0]&0x0f); fen =((l_tmpdate[1]&0x70)>>4)*10 + (l_tmpdate[1]&0x0f); shi =((l_tmpdate[2]&0x70)>>4)*10 + (l_tmpdate[2]&0x0f); ri =((l_tmpdate[3]&0x70)>>4)*10 + (l_tmpdate[3]&0x0f); yue =((l_tmpdate[4]&0x70)>>4)*10 + (l_tmpdate[4]&0x0f); week=((l_tmpdate[5]&0x70)>>4)*10 + (l_tmpdate[5]&0x0f); nian=((l_tmpdate[6]&0x70)>>4)*10 + (l_tmpdate[6]&0x0f); } } /******************************************************************/ /* 设定时钟数据 */ /******************************************************************/ void Set_RTC(void) //设定 日历 { uchar i,*p; /*for(i=0;i<7;i++) { //BCD处理 tmp=l_tmpdate[i]/10; l_tmpdate[i]=l_tmpdate[i]%10; l_tmpdate[i]=l_tmpdate[i]+tmp*16; }*/ Write_Ds1302(0x8E,0X00); //允许写,禁止写保护 p=write_rtc_address; //传地址 for(i=0;i<7;i++) //7次写入 秒分时日月周年 { Write_Ds1302(*p,l_tmpdate[i]); p++; } Write_Ds1302(0x8E,0x80); //打开写保护 } /******************************************************************/ /* 键盘扫描函数 */ /******************************************************************/ void keyscan() { uchar i; if(Display_Flag==0) { if(key1==0) //调时功能键 { delay(9); if(key1==0) { di(); while(!key1); //松手检测 ++n; ReadRTC_Flag=1; //停止走时 switch(n) { case 1: write_lcd1602(0x80+0x40+9,0); //按一次功能键秒闪烁 write_lcd1602(0x0f,0); Write_Ds1302(0x8e,0x00); //允许写,禁止写保护 Write_Ds1302(0x80,((miao/10)*16+miao%10)); //写入DS1302秒位 Write_Ds1302(0x8e,0x80); //打开写保护 break; case 2: write_lcd1602(0x80+0x40+6,0); //按两次功能键分闪烁 break; case 3: write_lcd1602(0x80+0x40+3,0); //按三次功能键时闪烁 break; case 4: write_lcd1602(0x80+10,0); //按四次功能键日闪烁 break; case 5: write_lcd1602(0x80+7,0); //按五次功能键月闪烁 break; case 6:write_lcd1602(0x80+4,0); //按六次功能键年闪烁 break; case 7: write_lcd1602(0x80+12,0); //按七次功能键周闪烁 break; case 8: n=0; //按八次功能键开始走时,停止闪烁 ReadRTC_Flag=0; write_lcd1602(0x0c,0); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x80,((miao/10)*16+miao%10)); Write_Ds1302(0x8e,0x80); C=0; break; } } } } if(key4==0) //闹铃功能键 { delay(9); if(key4==0) { di(); while(!key4); m++; Display_Flag=1; //屏蔽调时功能键,进入调闹铃功能 Alarm_Flag=0; //初始化闹铃标志位,闹铃不响 flag=1; //开启闹钟检测 write_lcd1602(0x80+0x40,0); //在时间前面显示闹钟开启标志‘A’ write_lcd1602('A',1); switch(m) { case 1: n=0; ReadRTC_Flag=0; //开显示 write_lcd1602(0x0c,0); //停止闪烁 Write_Ds1302(0x8e,0x00); Write_Ds1302(0x80,((miao/10)*16+miao%10)); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+1,0); //首行写入Set Alarm! for(i=0;i<14;i++) { write_lcd1602(tab3[i],1); } write_lcd1602(0x80+0x40+2,0); //第二行写入设定的时间 for(i=0;i<6;i++) { write_lcd1602(tab2[i],1); } write_lcd1602(0x80+0x40+8,0); write_lcd1602(tab4[0]/10+'0',1); write_lcd1602(tab4[0]%10+'0',1); write_lcd1602(0x80+0x40+5,0); write_lcd1602(tab4[1]/10+'0',1); write_lcd1602(tab4[1]%10+'0',1); write_lcd1602(0x80+0x40+2,0); write_lcd1602(tab4[2]/10+'0',1); write_lcd1602(tab4[2]%10+'0',1); break; case 2: write_lcd1602(0x80+0x40+9,0); //开始设定闹铃,秒位闪烁可调 write_lcd1602(0x0f,0); break; case 3: write_lcd1602(0x80+0x40+6,0); //分位闪烁可调 break; case 4: write_lcd1602(0x80+0x40+3,0); //时位闪烁可调 break; case 5: Display_Flag=0; //关闭对调时功能间的屏蔽 m=0; //关闭更更改闹铃时间 C=0; //屏蔽掉在正常显示时间时key5复位键对显示的影响 write_lcd1602(0x0c,0); write_lcd1602(0x80+1,0); //清掉Set Alarm for(i=0;i<11;i++) { write_lcd1602(tab1[i],1); } display(); //返回时间显示 } } } if(n!=0||m!=0) //功能加键 { if(key2==0) { delay(9); if(key2==0) { di(); while(!key2); if(Display_Flag==0) { switch(n) { case 1: miao++; if(miao==60) miao=0; write_lcd1602(0x80+0x40+8,0); //使LCD1602在秒位上显示“加”设好的秒数 write_lcd1602((miao/10)+'0',1); //十进制转换成LCD1602能显示的字符,显示出来 write_lcd1602((miao%10)+'0',1); T=(miao/10)*16+(miao%10); //十进制转换成DS1302能写的BCD码 Write_Ds1302(0x8e,0x00); //允许写,禁止写保护 Write_Ds1302(0x80,T); //写入DS1302 Write_Ds1302(0x8e,0x80); //打开写保护 //Read_RTC(); write_lcd1602(0x80+0x40+9,0); //写完数据后光标自动右移,所以要归位 break; case 2: fen++; if(fen==60) fen=0; write_lcd1602(0x80+0x40+5,0); write_lcd1602((fen/10)+'0',1); write_lcd1602((fen%10)+'0',1); T=(fen/10)*16+(fen%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x82,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+0x40+6,0); break; case 3: shi++; if(shi==24) shi=0; write_lcd1602(0x80+0x40+2,0); write_lcd1602((shi/10)+'0',1); write_lcd1602((shi%10)+'0',1); T=(shi/10)*16+(shi%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x84,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+0x40+3,0); break; case 4: ri++; if(ri==32) ri=1; write_lcd1602(0x80+9,0); write_lcd1602((ri/10)+'0',1); write_lcd1602((ri%10)+'0',1); T=(ri/10)*16+(ri%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x86,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+10,0); break; case 5: yue++; if(yue==13) yue=1; write_lcd1602(0x80+6,0); write_lcd1602((yue/10)+'0',1); write_lcd1602((yue%10)+'0',1); T=(yue/10)*16+(yue%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x88,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+7,0); break; case 6: nian++; if(nian==100) nian=0; write_lcd1602(0x80+3,0); write_lcd1602((nian/10)+'0',1); write_lcd1602((nian%10)+'0',1); T=(nian/10)*16+(nian%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x8c,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+4,0); break; case 7: week++; if(week==8) week=1; write_week(week); T=(week/10)*16+(week%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x8a,T); Write_Ds1302(0x8e,0x80); break; } } else switch(m) { case 2: tab4[0]++; if(tab4[0]==60) tab4[0]=0; set_miao=tab4[0]; write_lcd1602(0x80+0x40+8,0); write_lcd1602(tab4[0]/10+'0',1); write_lcd1602(tab4[0]%10+'0',1); write_lcd1602(0x80+0x40+9,0); break; case 3: tab4[1]++; if(tab4[1]==60) tab4[1]=0; set_fen=tab4[1]; write_lcd1602(0x80+0x40+5,0); write_lcd1602(tab4[1]/10+'0',1); write_lcd1602(tab4[1]%10+'0',1); write_lcd1602(0x80+0x40+6,0); break; case 4: tab4[2]++; if(tab4[2]==24) tab4[2]=0; set_shi=tab4[2]; write_lcd1602(0x80+0x40+2,0); write_lcd1602(tab4[2]/10+'0',1); write_lcd1602(tab4[2]%10+'0',1); write_lcd1602(0x80+0x40+3,0); break; } } } } if(key3==0) //功能减键 { delay(9); if(key3==0) { di(); while(!key3); if(Display_Flag==0) { switch(n) { case 1: miao--; if(miao==-1) miao=59; write_lcd1602(0x80+0x40+8,0); write_lcd1602((miao/10)+'0',1); write_lcd1602((miao%10)+'0',1); T=(miao/10)*16+(miao%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x80,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+0x40+9,0); break; case 2: fen--; if(fen==-1) fen=59; write_lcd1602(0x80+0x40+5,0); write_lcd1602((fen/10)+'0',1); write_lcd1602((fen%10)+'0',1); T=(fen/10)*16+(fen%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x82,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+0x40+6,0); break; case 3: shi--; if(shi==-1) shi=23; write_lcd1602(0x80+0x40+2,0); write_lcd1602((shi/10)+'0',1); write_lcd1602((shi%10)+'0',1); T=(shi/10)*16+(shi%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x84,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+0x40+3,0); break; case 4: ri--; if(ri==0) ri=31; write_lcd1602(0x80+9,0); write_lcd1602((ri/10)+'0',1); write_lcd1602((ri%10)+'0',1); T=(ri/10)*16+(ri%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x86,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+10,0); break; case 5: yue--; if(yue==0) yue=12; write_lcd1602(0x80+6,0); write_lcd1602((yue/10)+'0',1); write_lcd1602((yue%10)+'0',1); T=(yue/10)*16+(yue%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x88,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+7,0); break; case 6: nian--; if(nian==-1) nian=99; write_lcd1602(0x80+3,0); write_lcd1602((nian/10)+'0',1); write_lcd1602((nian%10)+'0',1); T=(nian/10)*16+(nian)%10; Write_Ds1302(0x8e,0x00); Write_Ds1302(0x8c,T); Write_Ds1302(0x8e,0x80); write_lcd1602(0x80+4,0); break; case 7: week--; if(week==0) week=7; write_week(week); T=(week/10)*16+(week%10); Write_Ds1302(0x8e,0x00); Write_Ds1302(0x8a,T); Write_Ds1302(0x8e,0x80); break; } } else switch(m) { case 2: tab4[0]--; if(tab4[0]==-1) tab4[0]=59; set_miao=tab4[0]; write_lcd1602(0x80+0x40+8,0); write_lcd1602(tab4[0]/10+'0',1); write_lcd1602(tab4[0]%10+'0',1); write_lcd1602(0x80+0x40+9,0); break; case 3: tab4[1]--; if(tab4[1]==-1) tab4[1]=59; set_fen=tab4[1]; write_lcd1602(0x80+0x40+5,0); write_lcd1602(tab4[1]/10+'0',1); write_lcd1602(tab4[1]%10+'0',1); write_lcd1602(0x80+0x40+6,0); break; case 4: tab4[2]--; if(tab4[2]==-1) tab4[2]=23; set_shi=tab4[2]; write_lcd1602(0x80+0x40+2,0); write_lcd1602(tab4[2]/10+'0',1); write_lcd1602(tab4[2]%10+'0',1); write_lcd1602(0x80+0x40+3,0); break; } } } if(key5==0) //返回显示时间功能键 { delay(9); if(key5==0) { di(); while(!key5); ReadRTC_Flag=0; Display_Flag=0; Alarm_Flag=0; led1=1; m=0; write_lcd1602(0x0c,0); write_lcd1602(0x80+1,0); for(i=0;i<11;i++) { write_lcd1602(tab1[i],1); } display(); } } if(key6==0) { delay(9); if(key6==0) { di(); while(!key6); k++; Alarm_Flag=0; led1=1; switch(k) { case 1: flag=1; write_lcd1602(0x80+0x40,0); write_lcd1602('A',1); break; case 2: k=0; flag=0; write_lcd1602(0x80+0x40,0); write_lcd1602(' ',1); break; } } } } /******************************************************************/ /* 闹钟函数 */ /******************************************************************/ void alarm() { uchar i; if( (miao==set_miao)&&(fen==set_fen)&&(shi==set_shi)/*&& (ri==set_ri)&&(yue==set_yue)&&(nian==set_nian)*/) { //Read_RTC(); InitialSound(); Alarm_Flag=1; //闹铃响标志位 led1=0; while(Alarm_Flag) { for(i=0;i<2;i++) { delay1(4); Play(Music_Two,0,3,360); //两只蝴蝶 } // delay(50); // for(i=0;i<2;i++) // { // delay1(4); // Play(Music_Two,0,3,360); // } // delay(50); // for(i=0;i<2;i++) // { // delay1(4); // Play(Music_Two,0,3,360); // } delay(500); Read_RTC(); //边响边走时 display(); keyscan(); } } } /************************************************************************** SOUND PLAY FOR 51MCU COPYRIGHT (c) 20014 BY JJJ. -- ALL RIGHTS RESERVED -- *******************************************************************************/ /*说明************************************************************************** 曲谱存贮格式 unsigned char code MusicName{音高,音长,音高,音长...., 0,0}; 末尾:0,0 表示结束(Important) 音高由三位数字组成: 个位是表示 1~7 这七个音符 十位是表示音符所在的音区:1-低音,2-中音,3-高音; 百位表示这个音符是否要升半音: 0-不升,1-升半音。 音长最多由三位数字组成: 个位表示音符的时值,其对应关系是: |数值(n): |0 |1 |2 |3 | 4 | 5 | 6 |几分音符: |1 |2 |4 |8 |16 |32 |64 音符=2^n 十位表示音符的演奏效果(0-2): 0-普通,1-连音,2-顿音 百位是符点位: 0-无符点,1-有符点 调用演奏子程序的格式 Play(乐曲名,调号,升降八度,演奏速度); |乐曲名 : 要播放的乐曲指针,结尾以(0,0)结束; |调号(0-11) : 是指乐曲升多少个半音演奏; |升降八度(1-3) : 1:降八度, 2:不升不降, 3:升八度; |演奏速度(1-12000): 值越大速度越快; ***************************************************************************/ #ifndef __SOUNDPLAY_H_REVISION_FIRST__ #define __SOUNDPLAY_H_REVISION_FIRST__ //************************************************************************** #define SYSTEM_OSC 12000000 //定义晶振频率12000000HZ #define SOUND_SPACE 4/5 //定义普通音符演奏的长度分率,//每4分音符间隔 sbit BeepIO = P2^1; //定义输出管脚 unsigned int code FreTab[12] = { 262,277,294,311,330,349,369,392,415,440,466,494 }; //原始频率表 unsigned char code SignTab[7] = { 0,2,4,5,7,9,11 }; //1~7在频率表中的位置 unsigned char code LengthTab[7]= { 1,2,4,8,16,32,64 }; unsigned char Sound_Temp_TH0,Sound_Temp_TL0; //音符定时器初值暂存 unsigned char Sound_Temp_TH1,Sound_Temp_TL1; //音长定时器初值暂存 //************************************************************************** void InitialSound(void) { BeepIO = 0; Sound_Temp_TH1 = (65535-(1/1200)*SYSTEM_OSC)/256; // 计算TL1应装入的初值 (10ms的初装值) Sound_Temp_TL1 = (65535-(1/1200)*SYSTEM_OSC)%256; // 计算TH1应装入的初值 TH1 = Sound_Temp_TH1; TL1 = Sound_Temp_TL1; TMOD |= 0x11; ET0 = 1; ET1 = 0; TR0 = 0; TR1 = 0; EA = 1; } void BeepTimer0(void) interrupt 1 //音符发生中断 { BeepIO = !BeepIO; TH0 = Sound_Temp_TH0; TL0 = Sound_Temp_TL0; } //************************************************************************** void Play(unsigned char *Sound,unsigned char Signature,unsigned Octachord,unsigned int Speed) { unsigned int NewFreTab[12]; //新的频率表 unsigned char i,j; unsigned int Point,LDiv,LDiv0,LDiv1,LDiv2,LDiv4,CurrentFre,Temp_T,SoundLength; unsigned char Tone,Length,SL,SH,SM,SLen,XG,FD; for(i=0;i<12;i++) // 根据调号及升降八度来生成新的频率表 { j = i + Signature; if(j > 11) { j = j-12; NewFreTab[i] = FreTab[j]*2; } else NewFreTab[i] = FreTab[j]; if(Octachord == 1) NewFreTab[i]>>=2; else if(Octachord == 3) NewFreTab[i]<<=2; } SoundLength = 0; while(Sound[SoundLength] != 0x00) //计算歌曲长度 { SoundLength+=2; } Point = 0; Tone = Sound[Point]; Length = Sound[Point+1]; // 读出第一个音符和它时时值 LDiv0 = 12000/Speed; // 算出1分音符的长度(几个10ms) LDiv4 = LDiv0/4; // 算出4分音符的长度 LDiv4 = LDiv4-LDiv4*SOUND_SPACE; // 普通音最长间隔标准 TR0 = 0; TR1 = 1; while(Point < SoundLength) { SL=Tone%10; //计算出音符 SM=Tone/10%10; //计算出高低音 SH=Tone/100; //计算出是否升半 CurrentFre = NewFreTab[SignTab[SL-1]+SH]; //查出对应音符的频率 if(SL!=0) { if (SM==1) CurrentFre >>= 2; //低音 if (SM==3) CurrentFre <<= 2; //高音 Temp_T = 65536-(50000/CurrentFre)*10/(12000000/SYSTEM_OSC);//计算计数器初值 Sound_Temp_TH0 = Temp_T/256; Sound_Temp_TL0 = Temp_T%256; TH0 = Sound_Temp_TH0; TL0 = Sound_Temp_TL0 + 12; //加12是对中断延时的补偿 } SLen=LengthTab[Length%10]; //算出是几分音符 XG=Length/10%10; //算出音符类型(0普通1连音2顿音) FD=Length/100; LDiv=LDiv0/SLen; //算出连音音符演奏的长度(多少个10ms) if (FD==1) LDiv=LDiv+LDiv/2; if(XG!=1) if(XG==0) //算出普通音符的演奏长度 if (SLen<=4) LDiv1=LDiv-LDiv4; else LDiv1=LDiv*SOUND_SPACE; else LDiv1=LDiv/2; //算出顿音的演奏长度 else LDiv1=LDiv; if(SL==0) LDiv1=0; LDiv2=LDiv-LDiv1; //算出不发音的长度 if (SL!=0) { TR0=1; for(i=LDiv1;i>0;i--) //发规定长度的音 { while(TF1==0); TH1 = Sound_Temp_TH1; TL1 = Sound_Temp_TL1; TF1=0; } } if(LDiv2!=0) { TR0=0; BeepIO=0; for(i=LDiv2;i>0;i--) //音符间的间隔 { while(TF1==0); TH1 = Sound_Temp_TH1; TL1 = Sound_Temp_TL1; TF1=0; } } Point+=2; Tone=Sound[Point]; Length=Sound[Point+1]; } BeepIO = 0; } //************************************************************************** #endif _1234567891.unknown _1234567893.unknown _1234567894.unknown _1234567892.unknown _1234567890.unknown
本文档为【基于单片机的万年历设计课程设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
北溟愚鱼
暂无简介~
格式:doc
大小:1014KB
软件:Word
页数:46
分类:工学
上传时间:2018-09-22
浏览量:34