首页 7段数码管实验报告

7段数码管实验报告

举报
开通vip

7段数码管实验报告如果您需要使用本文档,请点击下载按钮下载!如果您需要使用本文档,请点击下载按钮下载!授课:XXX授课:XXX如果您需要使用本文档,请点击下载按钮下载!授课:XXXEDA实验报告实验名称:7段数码管控制接口学院:信息工程学院专业:11级电子信息工程2班年级、班:2009级2班学生姓名:王璐指导教师:郭华2014年6月24日如果您需要使用本文档,请点击下载按钮下载!如果您需要使用本文档,请点击下载按钮下载!授课:XXX授课:XXX如果您需要使用本文档,请点击下载按钮下载!授课:XXX7段数码管控制接口实验要求。用设计一...

7段数码管实验报告
如果您需要使用本文档,请点击下载按钮下载!如果您需要使用本文档,请点击下载按钮下载!授课:XXX授课:XXX如果您需要使用本文档,请点击下载按钮下载!授课:XXXEDA实验报告实验名称:7段数码管控制接口学院:信息工程学院专业:11级电子信息工程2班年级、班:2009级2班学生姓名:王璐指导教师:郭华2014年6月24日如果您需要使用本文档,请点击下载按钮下载!如果您需要使用本文档,请点击下载按钮下载!授课:XXX授课:XXX如果您需要使用本文档,请点击下载按钮下载!授课:XXX7段数码管控制接口实验要求。用设计一个共阴7段数码管控制接口,要求:在时钟信号的控制下,使6位数码管动态刷新显示0—F,其中位选信号为8-3编码器编码输出。实验 内容 财务内部控制制度的内容财务内部控制制度的内容人员招聘与配置的内容项目成本控制的内容消防安全演练内容 。在实验仪器中,8位7段数码显示的驱动电路已经做好,并且其位选信(SEL[7..0])为一3-8译码器的输出,所以我们在设计7段数码管控制接口时,其位选信号输出必须经8-3编码。显示控制器的引脚图如图40-1:图1图中CP为时钟输入端,SEGOUT[7..0]为段驱动输出;SELOUT[2..0]为位选信号输出;NUMOUT[3..0]为当前显示的数据输出。图40-27段显示控制器仿真波形图从图40-2可以看出,6位数码管是轮流点亮的,我们以NUMOUT=1这段波形为参考:当SELOUT为000时,点亮第一位显示器,显示的数字为1,同时,NUMOUT输出的数据也为“0001”。同理,当SELOUT为001时,点亮第二位显示器,显示数字为1,直到6位显示器全都显示完毕,等待进入下一个数字的显示。同时,还有一个问 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 不可忽视,位扫描信号的频率至少需要多少以上,才能使显示器不闪烁?简单的说,只要扫描频率超过眼睛的视觉暂留频率24HZ以上就可以达到点亮单个显示,却能享有6个同时显示的视觉效果,而且显示也不闪烁。当我们输入频率为5MHZ时,我们通过加法计数器来产生一个约300HZ的信号,并且由它来产生位选信号,请参考下面程序段:如果您需要使用本文档,请点击下载按钮下载!如果您需要使用本文档,请点击下载按钮下载!授课:XXX授课:XXX如果您需要使用本文档,请点击下载按钮下载!授课:XXXPROCESS(CP)--计数器计数BeginIFCP'EventANDCP='1'thenQ<=Q+1;ENDIF;ENDPROCESS;NUM<=Q(24DOWNTO21);--about1HzS<=Q(15DOWNTO13);--about300Hz--扫描信号SEL<="000"WHENS=0ELSE"001"WHENS=1ELSE"010"WHENS=2ELSE"011"WHENS=3ELSE"100"WHENS=4ELSE"101"WHENS=5ELSE"111111";由计数器Q引出到S信号,若时钟信号为5MHZ时,Q13得到的信号频率约为300HZ,再将它分给扫描信号,最后每个显示器扫描信号频率为:300/6=50HZ>24HZ,所以不会有闪烁情形产生。实验程序设计如下:moduleledCycle(outData,VGA,CLK);inputCLK;output[3:0]VGA;assignVGA[3:0]=4'b0010;outputreg[15:0]outData;reg[7:0]temp=8'h00;parameterfont0=16'h803F;parameterfont1=16'h8006;parameterfont2=16'h805B;parameterfont3=16'h804F;parameterfont4=16'h8066;parameterfont5=16'h806D;parameterfont6=16'h807D;parameterfont7=16'h8007;parameterfont8=16'h807F;parameterfont9=16'h806F;parameterfontA=16'h8077;parameterfontB=16'h807C;parameterfontC=16'h8039;parameterfontD=16'h805E;parameterfontE=16'h8079;parameterfontF=16'h8071;always@(posedgeCLK)begin如果您需要使用本文档,请点击下载按钮下载!如果您需要使用本文档,请点击下载按钮下载!授课:XXX授课:XXX如果您需要使用本文档,请点击下载按钮下载!授课:XXXif(temp>16)begintemp=1;outData=font0;endelsebegincase(temp)0:outData=font0;1:outData=font1;2:outData=font2;3:outData=font3;4:outData=font4;5:outData=font5;6:outData=font6;7:outData=font7;8:outData=font8;9:outData=font9;10:outData=fontA;11:outData=fontB;12:outData=fontC;13:outData=fontD;14:outData=fontE;15:outData=fontF;default;endcasetemp=temp+1;endendendmodule如果您需要使用本文档,请点击下载按钮下载!如果您需要使用本文档,请点击下载按钮下载!授课:XXX授课:XXX如果您需要使用本文档,请点击下载按钮下载!授课:XXX实验连线和引脚分配。实验连线:IO_CLK连接IO3图3引脚分配图如果您需要使用本文档,请点击下载按钮下载!如果您需要使用本文档,请点击下载按钮下载!授课:XXX授课:XXX如果您需要使用本文档,请点击下载按钮下载!授课:XXX四、RTL图和时序仿真波形。图4时序仿真图如果您需要使用本文档,请点击下载按钮下载!如果您需要使用本文档,请点击下载按钮下载!授课:XXX授课:XXX如果您需要使用本文档,请点击下载按钮下载!授课:XXX图6RTL电路图(注:可编辑下载,若有不当之处,请指正,谢谢!)
本文档为【7段数码管实验报告】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
机构认证用户
夕夕资料
拥有专业强大的教研实力和完善的师资团队,专注为用户提供合同简历、论文写作、PPT设计、计划书、策划案、各类模板等,同时素材和资料部分来自网络,仅供参考.
格式:doc
大小:432KB
软件:Word
页数:0
分类:其他高等教育
上传时间:2021-04-30
浏览量:1