首页 EDA实验设计报告流水灯

EDA实验设计报告流水灯

举报
开通vip

EDA实验设计报告流水灯EDA实验设计报告流水灯 EDA 2011128085 11 设计内容:设计8位流水灯的4种循环模式,并用quartusII进行编译和仿真,用LED灯实现 需求。 控制系统方案:四种循环模式 (1)灯从右到左依次都闪亮 (2)灯从右向左逐次闪亮 (3)灯从右向左逐次闪亮 (4) 从右向左每间隔一个闪亮 设计方案:通过复位端reset 与按键端 right,left,shan,yici控制,当复位reset为 1 时 led 灯最左端点亮,再通过else-if语句控制 试验程序: module...

EDA实验设计报告流水灯
EDA实验设计 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 流水灯 EDA 2011128085 11 设计内容:设计8位流水灯的4种循环模式,并用quartusII进行编译和仿真,用LED灯实现 需求。 控制系统 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 :四种循环模式 (1)灯从右到左依次都闪亮 (2)灯从右向左逐次闪亮 (3)灯从右向左逐次闪亮 (4) 从右向左每间隔一个闪亮 设计方案:通过复位端reset 与按键端 right,left,shan,yici控制,当复位reset为 1 时 led 灯最左端点亮,再通过else-if语句控制 试验程序: module light(clk,reset,right,left,shan,yici,led); input clk,reset,right,left,shan,yici; output[7:0] led; reg[7:0] led; always @ (posedge clk) begin if(reset) begin led<=8’b00000001; end else begin if(yici) begin led<=((led<<1)+8’b00000001); if(led==8’b11111111) led<=8’b00000001; end if(right) begin led<=led>>1; if(led==8’b00000001) led<=8’b10000000; end if(left) begin led<=led<<1; if(led==8’b10000000) led<=8’b00000001; end if(shan) begin if(led==8’b01010101) led<=8’b10101010; else led<=8’b01010101; end end end endmodule 实验 总结 初级经济法重点总结下载党员个人总结TXt高中句型全总结.doc高中句型全总结.doc理论力学知识点总结pdf :经过本次课程设计使我进一步巩固了从课堂上学到的知识,虽然在实验过程中多次出错,但通过不断的检查和调试终于成功的实现了8位流水灯的控制。通过实验提高了自己分析问题解决问题的能力,还建立了自己的信心,让自己的动手实践能力有了提高,受益匪浅。
本文档为【EDA实验设计报告流水灯】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_314871
暂无简介~
格式:doc
大小:13KB
软件:Word
页数:3
分类:互联网
上传时间:2017-10-19
浏览量:160