首页 24进制计数器-VHDL

24进制计数器-VHDL

举报
开通vip

24进制计数器-VHDL24进制计数器-VHDL --文件名:counter24.vhd。 --功能:24进制计数器。 --最后修改日期:2008.04.26 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter24 is Port ( clk : in std_logic; reset : in std_logic; din : i...

24进制计数器-VHDL
24进制计数器-VHDL --文件名:counter24.vhd。 --功能:24进制计数器。 --最后修改日期:2008.04.26 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity counter24 is Port ( clk : in std_logic; reset : in std_logic; din : in std_logic_vector(5 downto 0); dout : out std_logic_vector(5 downto 0)); end counter24; architecture Behavioral of counter24 is signal count : std_logic_vector(5 downto 0); begin dout <= count; process(clk,reset,din) begin if reset= '0' then count <= din; elsif rising_edge(clk) then if count(3 downto 0)="1001" then count(3 downto 0)<="0000"; count(5 downto 4)<=count(5 downto 4) +1; else count(3 downto 0)<=count(3 downto 0)+1; end if; if count="100011" then count<="000000"; end if; end if; end process; end Behavioral;
本文档为【24进制计数器-VHDL】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_215732
暂无简介~
格式:doc
大小:12KB
软件:Word
页数:2
分类:互联网
上传时间:2017-09-26
浏览量:52