首页 PCB英文术语整理

PCB英文术语整理

举报
开通vip

PCB英文术语整理b/電路板朮語總整理A*****A*****AbieticAcid松脂酸.AbrasionResistance耐磨性.Abrasives磨料,刷材.ABS樹脂.Absorption吸收(入).AcImpedance交流阻抗.AcceleratedTest(Aging)加速老化(試驗).Acceleration速化反應.Accelerator加速劑,速化劑.Acceptability,Acceptance允收性,允收.AccessHole露出孔,穿露孔.Accuracy准確度.AcidNumber(AcidValue...

PCB英文术语整理
b/電路板朮語總整理A*****A*****AbieticAcid松脂酸.AbrasionResistance耐磨性.Abrasives磨料,刷材.ABS樹脂.Absorption吸收(入).AcImpedance交流阻抗.AcceleratedTest(Aging)加速老化(試驗).Acceleration速化反應.Accelerator加速劑,速化劑.Acceptability,Acceptance允收性,允收.AccessHole露出孔,穿露孔.Accuracy准確度.AcidNumber(AcidValue)酸值.AcousticMicroscope(AM)感音成像顯微鏡.Acrylic壓克力(聚丙烯酸樹脂).ActinicLight(orIntensity,orRadiation)有效光.Activation活化.Activator活化劑.ActiveCarbon活性炭.ActiveParts(Devices)主動零件.Acutance解像銳利度.AdditionAgent添加劑.AdditiveProcess加成法.Adhesion附著力.AdhesionPromotor附著力促進劑.Adhesive膠類或接著劑.Admittance導納(阻抗的倒數).Aerosol噴霧劑,氣熔膠,氣懸體.Aging老化.AirInclusion氣泡夾雜.AirKnife風刀.Algorithm演算法.AliphaticSolvent脂肪族溶劑.AluminiumNitride(AlN)氮化鋁.AmbientTamp環境溫度.Amorphous無定形,非晶形.Amp-Hour安培小時.AnalogCircuit/AnalogSignal類比電路/類比訊號.AnchoringSpurs著力爪.AngleofContack接觸角.AngleofAttack攻角.Anion陰離子.Anisotropic異向性,單向的.Anneal韌化(退火).AnnularRing孔環.Anode陽極.AnodeSludge陽極泥.Anodizing陽極化.ANSI美國標準協會.Anti-FoamingAgent消泡劑.Anti-pitAgent抗凹劑.AOI自動光學檢驗.Apertures開口,鋼版開口.AQL品質允收水準.AQL(AcceptableQualityLevel)允收品質水準.AramidFiber聚醯胺纖維.ArcResistance耐電弧性.Array排列.Artwork底片.ASIC特定用途勣體電路器.AspectRatio縱橫比.Assembly組裝裝配.A-stageA階段.ATE自動電測設備.Attenuation訊號衰減.Autoclave壓力鍋.Axial-lead軸心引腳.Azeotrope共沸混合液.*****B*****BackLight(BackLighting)背光法.BackTaper反錐斜角.Backpanels,Backplanes支撐板.Back-up墊板.BalancedTransmissionLines平衡式傳輸線.BallGridArray球腳陣列(封裝).Bandability彎曲性.BankingAgent護岸劑.BareChipAssembly裸體晶片組裝.Barrel孔壁,滾鍍.BaseMaterial基材.BasicGrid基本方格.Batch批.Baume波美度(凡液體比重比水重則Be=145-(145÷Sp.Gr)凡液體比重比水輕則Be=140÷(Sp.Gr-130)*Sp.Gr為比重即同體勣物質對"純水"1g/cm的比值).Beamlead光芒式的平行密集引腳.Bed-of-NailTesting針床測試.BellowsConact彈片式接觸.BetaRayBackscatter貝他射線反彈散射.Bevelling切斜邊.Bias斜張綱布,斜纖法.Bi-LevelStencil]雙階式鋼板.Binder粘結劑.Bits頭(DrillBits).BlackOxide黑氧化層.Blanking沖空斷開.Bleack漂洗.Bleeding溢流.BlindViaHole肓通孔.Blister局部性分層或起泡.BlockDiagram電路系統塊圖.Blockout封綱.Blotting干印.BlottingPaper吸水紙.BlowHole吹孔.BluePlaque藍紋(錫面鈍化層).BlurEdge(Circle)模糊邊帶(圈).BombSight彈標.BondStrength結合強度.Bondability結合性.BondingLayer結合層接著層.BondingSheet(Layer)接合片.BondingWire結合線.Bow,Bowing板彎.Braid編線.Brazing硬焊(用含銀的銅鋅合金焊條).在425℃~870℃下進行熔接的方式).BreakPoint顯像點.Break-awayPanel可斷開板.BreakdownVoltage崩潰電壓.Break-out破出.Bridging搭橋.BrightDip光澤浸漬處理.Brightener光澤劑.BrownOxide棕氧化.BrushPlating刷鍍.B-stageB階段.BuildUpProcess增層法制程.Build-up堆積.Bulge鼓起.Bump突塊.BumpingProcess凸塊制程.Buoyancy浮力.BuriedViaHole埋導孔.Burn-in高溫加速老化試驗.Burning燒焦.Burr毛頭.BusBar匯電杆.ButterCoat外 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 樹脂層.*****C*****C4ChipJointC4晶片焊接.Cable電纜.CAD電腦輔助設計.CalenderedFabric軋平式綱布.CapLamination帽式壓合法.Capacitance電容.CapacitiveCoupling電容耦合.CapillaryAction毛細作用.Carbide碳化物.CarbonArcLamp碳弧燈.CarbonTreatment,Active活化炭處理.Card卡板.CardCages/CardRacks電路板搆裝箱.CarlsonPin卡氏定位稍.Carrier載體.Cartridge濾心.Castallation堡型勣體電路器.CatalyzedBoard,CatalyzedSubstrate催化板材.Catalyzing催化.Cathode陰極.Cation陰向離子,陽离子.CaulPlate隔板.Cavitation空泡化半真空.Center-to-CenterSpacing中心間距.Ceramics陶瓷.Cermet陶金粉.Certificate証明書.CFC氟氫碳化物.Chamfer倒角.CharacteristicImpedance特性阻抗.Chase綱框.CheckList檢查清單.Chelate螯合.ChemicalMilling化學研磨.ChemicalResistance抗化性.Chemisorption化學吸附.Chip晶片(粒).ChipInterconnection晶片互連.ChiponBoard晶片粘著板.ChipOnGlass晶玻接裝(COG).Chisel鑽針的尖部.ChlorinatedSolvent含氯溶劑,氯化溶劑.CircumferentialSeparation環狀斷孔.Clad/Cladding披覆.CleanRoom無塵室.Cleanliness清潔度.Clearance余地,余環.ClinchedLeadTerminal緊箝式引腳.Clinched-wireThroughConnection通孔彎線連接法.ClipTerminal繞線端接.Coat,Coating皮膜表層.CoaxialCable同軸纜線.CoefficientofThermalExpansion熱膨脹系數.Co-Firing共繞.ColdFlow冷流.ColdSolderJoint冷焊點.CollimatedLight平行光.Colloid膠體.ColumnarStructure柱狀組織.CombPattern梳型電路.ComplexIon錯離子.ComponentHole零件孔.ComponentOrientation零件方向.ComponentSide組件面.Composites,(CEM-1,CEM-3)復合板材.CondensationSoldering凝熱焊接,液化放熱焊接.Conditioning整孔.Conductance導電.ConductiveSalt導電鹽.Conductivity導電度.ConductorSpacing導體間距.ConformalCoating貼護層.Conformity吻合性,服貼性.Connector連接器.ContactAngle接觸角.ContactArea接觸區.ContactResistance接觸電阻.Continuity連通性.ContractService協力廠,分包廠.ControlledDepthDrilling定深鑽孔.ConversionCoating轉化皮膜.Coplanarity共面性.Copolymer共聚物.CopperFoil銅皮.CopperMirrorTest銅鏡試驗.CopperPaste銅膏.Copper-Invar-Copper(CIC)綜合夾心板.CoreMaterial內層板材,核材.CornerCrack通孔斷角.CornerMark板角標記.Counterboring方型擴孔.Countersinking錐型擴孔.CouplingAgent偶合劑.Coupon,TestCoupon板邊試樣.Coverlay/Covercoat表護層.Crack裂痕.Crazing白斑.Crease皺折.Creep潛變.CrossectionArea截面積.CrosshatchTesting十字割痕試驗.Crosshatching十字交叉區.Crosslinking,Crosslinkage交聯,架橋.Crossover越交,搭交.Crosstalk雜訊,串訊.CrystallineMeltingPoint晶體熔點.C-StageC階段.Cure硬化,熟化.CurrentDensity電流密度.Current-CarryingCapability載流能力.CurtainCoating濂塗法.*****D*****DaisyChainedDesign菊瓣設計.DatumReference基准參考.DaughterBoard子板.Debris碎屑,殘材.Deburring去毛頭.DeclinationAngle斜射角.Definition邊緣逼真度.Degradation劣化.Degrasing脫脂.DeionizedWater去離子水.Delamination分離.DendriticGrowth枝狀生長.Denier丹尼爾(是編織紡織所用各種紗類直徑單位,定義9000米紗束所具有的重量(以克米計)).Densitomer透光度計.Dent凹陷.Deposition皮膜處理.Desiccator干燥器.Desmearing去膠渣.Desoldering解焊.Developer顯像液,顯像機.Developing顯像.Deviation偏差.Device電子元件.Dewetting縮錫.D-glassD玻璃.DiazeFilm偶氮棕片.Dichromate重鉻酸鹽.Dicing晶片分割.Dicyandiamide(Dicy)雙氰胺.Die沖模.DieAttach晶粒安裝.DieBonding晶粒接著.DieStamping沖壓.Dielectric介質.DielectricBreakdownVoltage介質崩潰電壓.DielectricConstant介質常數.DielectricStrength介質強度.DifferentialScanningCalorimetry(DSC)微差掃瞄熱卡分析法.DiffusionLayer擴散層.Digitizing數位化.DihedralAngle雙反斜角.DimensionalStability尺度安定性.Diode二極體.DipCoating浸塗法.DipSoldering浸焊法.DIP(DualInlinePackage)雙排腳封裝體.Dipole偶極,雙極.Direct/IndirectStencil直接/間接版膜.DirectEmulsion直接乳膠.DirectPlating直接電鍍.DiscreteCompenent散裝零件.DiscreteWiringBoard散線電路板,復線板.DishDown碟型下陷.Dispersant分散劑.DissipationFactor散失因素.DisspationFactor散逸因子.DisturbedJoint受擾焊點.DoctorBlade修平刀,刮平刀.DogEar狗耳.Doping摻雜.DoubleLayer雙電層.DoubleTreatedFoil雙面處理銅箔.DragIn/DragOut帶[進/帶出.DragSoldering拖焊.Drawbridging吊橋效應.Drift漂移.DrillFacet鑽尖切削面.DrillPointer鑽針重磨機.DrilledBlank已鑽孔的裸板.Dross浮渣.DrumSide銅箔光面.DryFilm干膜.DualWaveSoldering雙波焊接.Ductility展性.DummyLand假焊墊.Dummy,Dummying假鍍(片).Durometer橡膠硬度計.DYCOstrate電漿蝕孔增層法.DynamicFlex(FPC)動態軟板.*****E*****E-Beam(ElectronBeam)電子束.EddyCurrent渦電流.EdgeSpacing板邊空地.Edge-BoardConnector板邊(金手指)承接器.Edge-BoardContact板邊金手指.Edge-DipSolderabilityTest板邊焊錫性測試.EDTA乙二胺四乙酸.Effluent排放物.E-glass電子級玻璃.Elastomer彈性體.ElectricStrength(耐)電性強度.Electrodeposition電鍍.Electro-depositionPhotoresist電著光阻,電泳光阻.Electroforming電鑄.Electroless-Deposition無電鍍.ElectrolyticToughPitch電解銅..Electrolytic-Cleaning電解清洗.Electro-migration電遷移.Electro-phoresis電泳動,電滲.Electro-tinning鍍錫.Electro-Winning電解冶煉.Elongation延伸性,延伸率.Embossing凸出性壓花.EMF(ElectromotiveForce)電動勢.EMI(ElectromagneticInterference)電磁干擾.Emulsion乳化.EmulsionSide藥膜面.Encapsulating膠囊.Encroachment沾污,侵犯.EndTap封頭.Entek有機護銅處理.Entrapment夾雜物.EntryMaterial蓋板.EpoxyResin環氧樹脂.EtchFactor蝕刻因子.Etchant蝕刻劑(液).Etchback回蝕.EtchingIndicator蝕刻指標.EtchingResist蝕刻阻劑.EuteticComposition共融組成.Exotherm放熱(曲線).Exposure曝光.Eyelet鉚眼.*****F*****Fabric綱布.FaceBonding反面朝下結合.Failure故障.FanOutWiring/FanInWiring扇出布線/扇入布線.Farad法拉.Farady法拉第.FatigueStrength抗疲勞強度.Fault缺陷.FaultPlane斷層面.FeedThroughHole導通孔.Feeder進料器.FiberExposure玻纖顯露.FiducialMark基准記號.Filament纖絲.Fill緯向.Filler填充料.Fillet內圓填角.Film底片.FilmAdhesive接著膜,粘合膜.Filter過濾器.FineLine細線.FinePitch密腳距,密線距,密墊距.Fineness粒度,純度.Finger手指.Finishing終修(飾).FiniteElementMethod有限要素分析法.FirstArticle首產品.FirstPass-Yield初檢良品率.Fixture夾具.Flair刃角變形.FlamePoint自燃點.FlameResistant耐燃性.FlammabilityRate燃性等級.Flare扇形崩口.FlashPlating閃鍍.Flashover閃絡.FlatCable扁平排線.FlatPack扁平封裝(之零件).Flatness平坦度.FlexiblePrintedCircuit(FPC)軟板.FlexuralFailure撓曲損壞.FlexuralModule彎曲模數,抗撓性模數.FlexuralStrength抗撓強度.FlipChip覆晶,扣晶.Flocculation絮凝.FloodStrokePrint覆墨沖程印刷.FlowSoldering(WaveSoldering)流焊.Fluorescence熒光.FlurocarbonResin碳氟樹脂.FlushConductor嵌入式線路,貼平式導體.FlushPoint閃火點.Flute退屑槽.Flux助焊劑.FoilBurr銅箔毛邊.FoilLamination銅箔壓板法.Foot殘足(干膜殘余物).FootPrint(LandPattern)腳墊.ForeignMaterial外來物,異物.Form-to-List布線說明清單.FourPointTwisting四點扭曲法.FreeRadical自由基.Freeboard干舷.Frequency頻率.Frit玻璃熔料.Fully-AdditiveProcess全加成法.FungusResistance抗霉性.FusedCoating熔錫層.Fusing熔合.FusingFluid助熔液.*****G*****G-10由連續玻纖所織成的玻纖布與環氧樹脂粘結劑所復合成的材料.Gage,Gauge量規.GalliumArsenide(GaAs)砷化鎵.GalvanicCorrosion賈凡尼式腐蝕(電解式腐蝕).GalvanicSeries賈凡尼次序(電動次序).Galvanizing鍍鋅.GAP第一面分離,長刃斷開.GateArray閘列,閘極陣列.GelTime膠化時間.GelationParticle膠凝點.GerberData,GerberFile格博檔案(是美商Gerber公司專為PCB面線路圖形與孔位,所發展一系列完整的軟體檔案).GhostImage陰影.Gilding鍍金(現為:GlodPlating).GlassFiber玻纖.GlassFiberProtrusion/Gouging,Groove玻纖突出/挖破.GlassTransitionTemperature,Tg玻璃態轉化溫度.Glaze釉面,釉料.GlobTop圓頂封裝體.GloubleTest球狀測試法.Glycol(EthyleneGlycol)乙二醇.GoldenBoard測試用標準板.GrainSize結晶粒度.GrassLeak大漏.Grid標准格.GroundPlane/EarthPlane接地層.GroundPlaneClearance接地空環.GuidePin導針.Gull/WingLead鷗翼引腳.*****H*****Halation環暈.HalfAngle半角.Halide鹵化物.Haloing白圈,白邊.Halon海龍,是CFC"氟碳化物"的一種商品名.HardAnodizing硬陽極化.HardChromePlating鍍硬鉻.HardSoldering硬焊.Hardener(CuringAgent)硬化劑(或CuringAgent).Hardness硬度.Haring-BlumCell海固槽.Harness電纜組合.HayWire跳線.HeatCleaning燒潔.HeatDissipation散熱.HeatDistortionPoint(Temp)熱變形點(溫度).HeatSealing熱封.HeatSinkPlane散熱層.HeatTransferPaste導熱膏.HeatsinkTool散熱工具.Hertz(Hz)赫.HighEfficiencyParticulateAirFilter(HEPA)高效空氣塵粒過瀘機.HipotTest高壓電測.Hi-Rel高度靠度.Hit擊(鑽孔時鑽針每一次"刺下"的動作).HoldingTime停置時間.HoleBreakout孔位破出.HoleCounter數孔機.HoleDensity孔數密度.HolePreparation通孔准備.HolePullStrength孔壁強度.HoleVoid破洞.Hook切削刀緣外凸.HotAirLevelling噴錫.HotBarSoldering熱把焊接.HotGasSoldering熱風手焊.HTE(HighTemperatureElongation)高溫延伸性.HullCell哈氏槽.HybridIntegratedCircuit混成電路.HydraulicBulgeTest液壓鼓起試驗.HydrogenEmbrittlement氫脆.HydrogenOvervoltage氫過(超)電壓.Hydrolysis水解.Hydrophilic親水性.Hygroscopic吸溼性.Hypersorption超吸咐.*****I*****I.C.Socket勣體電路器插座.Icicle錫尖.Illuminance照度.ImageTransfer影像轉移.ImmersionPlating浸鍍.Impedance阻抗.ImpedanceMatch阻抗匹配.Impregnate含浸.In-CircuitTesting組裝板電測.Inclusion異物,夾雜物.IndexingHole基准孔.Inductance(L)電感.Infrared(IR)紅外線.Input/Output輸入/輸出.Insert,Insertion插接.InspectionOverlay套檢底片.InsulationResistance絕緣電阻.IntegratedCircuit(IC)勣體電路器.InterFace介面.Interconnection互連.IntermetallicCompound(IMC)介面共化物.InternalStress內應力.Interposer互邊導電物.InterstitialVia-Hole(IVH)局部層間導通孔.Invar殷鋼(63.8%Fe,36%Ni,0.2%C).IonCleanliness離子清潔度.IonExchangeResins離子交換樹脂.IonMigration離子遷移.Ionizable(Ionic)Contaimination離子性污染.Ionization游離,電離.IonizationVoltage(CoronaLevel)電離化電壓(電纜內部狹縫空氣中,引起其電離所施加之最小電壓).IPC美國印刷電路板協會.Isolation隔離性,隔絕性.*****J*****JEDEC(JointElectronicDevice聯合電子元件工程委員會.EngineeringCouncil)J-LeadJ型接腳.JobShop專業工廠.Joule焦耳.JumperWire跳線.Junction接(合)面,接頭.Just-In-Time(JIT)適時供應,及時出現.*****K*****Kapton聚亞醯胺軟板.Karat克拉(1克拉(鑽石)=0.2g純金則24k金為100%的鈍金.Kauri-ButanolValue考立丁醇值(簡稱K.B.值).Kerf.切形,裁剪.Kevlar聚醯胺纖維.Key電鍵KeyBoard鍵盤.KissPressure吻壓,低壓.KnoopHardness努普硬度.KnownGoodDie(KGD)已知之良好晶片.Kovar科伐合金(Fe53%,Ni29%,Co17%).KraftPaper牛皮紙.*****L*****LamdaWave延伸平波.LaminarFlow平流.LaminarStructure片狀結搆.LaminateVoid板材空洞.Laminate(s)基板.LaminationVoid壓合空洞.Laminator壓膜機.Land孔環焊墊,表面焊墊.LandlessHole無環通孔.LaserDirectImaging(LDI)雷射直接成像.LaserMaching雷射加工法.LaserPhotogenerator(LPG),LaserPhotoplotter雷射曝光機.LaserSoldering雷射焊接法.LayBack刃角磨損.LayOut布線,布局.LayUp疊合.LayertoLayerSpacing層間距離Leaching焊散漂出,熔出.Lead引腳.LeadFrame腳架.LeadPitch腳距.LeakageCurrent漏電電流.Legend文字標記.Leveling整平.LiftedLand孔環(焊墊)浮起.Ligand錯離子附屬體.LightEmittingDiodes(LED)發光二極體.LightIntegrator光能累積器.LightIntensity光強度.LimitingCurrentDensity極限電流密度.LiquidCrystalDisplay(LCD)液晶顯示器.LiquidDielectrics液態介質.LiquidPhotoimagibleSolderMask,(LPSM)液態感光防焊綠漆.LocalAreaNetwork區域性網路.Logic邏輯.LogicCircuit邏輯電路.LossFactor損失因素.LossTangent(TanδDK)損失正切.LotSize批量.Luminance發光強度.Lyophilic親水性膠體.*****M*****Macro-ThrowingPower巨觀分布力.MajorDefect主要(嚴重)缺點.MajorWeaveDirection主要織向.Margin刃帶(鑽頭尖部).Marking標記.Mask阻劑.MassFinishing大量整面(拋光).MassLamination大型壓板.MassTransport質量輸送.MasterDrawing主圖.Mat蓆(用于CEM-3(CompositeEpoxyMaterial)的復合材料.)MatteSide毛面(電鍍銅皮(EDFoil)之粗糙面).Mealing泡點.MeanTimeToFailure(MTTF)故障前可用之平均時數.Measling白點.MechanicalStretcher機械式張網機.MechanicalWarp機械式纏繞.Mechanism機理.MembraneSwitch薄膜開關.MeniscographTest弧面狀沾錫試驗.Meniscus彎月面.MercuryVaperLamp汞氣燈.MeshCount綱目數.MetalHalideLamp金屬鹵素燈.Metallization金屬化.MetallizedFabric金屬化綱布.Micelle微胞.MicroWireBoard微封線板.Micro-electronios微電子.Microetching微蝕.Microsectioning微切片法.Microstrip微條.MicrostripLine微條線,微帶線.MicrothrowingPower微分布力.Microwave微波.Migration遷移.MigrationRate遷移率.Mil英絲.MinimumAnnularRing孔環下限.MinimumElectricalSpacing電性間距下限.MinorWeaveDirection次要織向.Misregistration對不准度.MixedComponmtMountingTechnology混合零件之組裝技術.Modem調變及解調器.Modification修改.Module模組.ModulusofElasticity彈性系數.MoistureandInsulationResistanceTest溼氣與絕緣電阻試驗.MoldRelease脫模劑,離型劑.Mole摩爾.Monofilament單絲.MotherBoard主機板,母板.MouldedCircuit模造立體電路機.MountingHole安裝孔.MountingHole組裝孔,機裝孔.MouseBite鼠齒(蝕刻后線路邊緣出現不規則缺口).Multi-Chip-Module(MCM)多晶片芯片模組.MultiwiringBoard(orDiscreteWiringBoard)復線板.*****N*****N.C.數值控制.NailHead釘頭.NearIR近紅外線.Negative負片,鑽尖的第一面外緣變窄.NegativeEtch-back反回蝕.NegativeStencil負性感光膜.Negative-ActingResist負性作用之阻劑.Network綱狀元件.Newton牛頓.NewtonRing牛頓環.NewtonianLiquid牛頓流體.Nick缺口.N-MethylPyrrolidine(NMP)N-甲基四氫嗶咯.NobleMetalPaste貴金屬印膏.Node節點.Nodule節瘤.Nomencleature標示文字符號.NominalCuredThickness標示厚度.Non-CircularLand非圓形孔環焊墊.Non-flammable非燃性.Non-wetting不沾錫.NormalConcentration(Strength)標準濃度,當量濃度.NormalDistribution常態分布.Novolac酯醛樹脂.Nucleation,Nucleating核化.NumericalControl數值控制.Nylon尼龍.*****O*****Occlusion吸藏.Off-Contact架空.Offset第一面大小不均.OFHC(OxyenFreeHighConductivity)無氧高導電銅.Ohm歐姆.Oilcanning蓋板彈動.OLB(OuterLeadBond)外引腳結合.Oligomer寡聚物.OmegaMeter離子污染檢測儀.OmegaWave振盪波.On-ContactPrinting密貼式印刷.Opaquer不透明劑,遮光劑.OpenCircuits斷線.OpticalComparater光學對比器(光學放大器.)OpticalDensity光密度.OpticalInspection光學檢驗.OpticalInstrument光學儀器.OrganicSolderabilityPreservatives(OSP)有機保焊劑.Osmosis滲透.Outgassing出氣,吹氣.Outgrowth懸出,橫出,側出.Output產出,輸出.Overflow溢流.Overhang總懸空.Overlap鑽尖點分離.Overpotantial(Overvoltage)過電位,過電壓.Oxidation氧化.OxygenInhibitor氧化抑制劑.OzoneDepletion臭氧層耗損.*****P*****Packaging封裝,搆裝.Pad焊墊,圓墊.PadMaster圓墊底片.PadsOnlyBoard唯墊板.Palladium鈀.Panel制程板.PanelPlating全板鍍銅.PanelProcess全板電鍍法.PaperPhenolic紙質酚醛樹脂(板材).PartingAgent脫膜劑.Passivation鈍化,鈍化外理.PassiveDevice(Component)被動元件(零件)Paste膏,糊.Pattern板面圖形.PatternPlating線路電鍍.PatternProcess線路電鍍法.PeakVoltage峰值電壓.PeelStrength抗撕強度.PeriodicReverse(PR)Current周期性反電流.Peripheral周邊附屬設備.Permeability透氣性,導磁率.Permittivity誘電率,透電率.pHValue酸堿值.Phase相.PhaseDiagram相圖.Phenolic酚醛樹脂.Photofugitive感光褪色.Photographicfilm感光成像之底片.Photoinitiator感光啟始劑.Photomask光罩.Photoplotter,Plotter光學繪圖機.Photoresist光阻.PhotoresistChemicalMachinning(Milling)光阻式化學(銑刻)加工.Phototool底片.PickandPlace拾取與放置.Piezoelectric壓電性.Pin插腳,插梢,插針.PinGridArray(PGA)矩陣式針腳對裝.Pinhole針孔.PinkRing粉紅圈.Pitch跨距,腳距,墊距,線距.Pits凹點.PlainWeave平織.Plasma電漿.Plasticizers可塑劑,增塑劑.PlatedThroughHole鍍通孔.Platen熱盤.Plating鍍.Plotting標繪.Plowing犁溝.Plug插腳,塞柱.Ply層,股.PneumaticStretcher氣動拉伸器.PogoPin伸縮探針.Point鑽尖.PointAngle鑽尖面.PointSourceLight點狀光源.Poise泊."粘滯度"單位=1dyne*sec/cm2.PolarSolvent極性溶劑.Polarity電極性.Polarization分極,極化.PolarizingSlot偏槽.PolyesterFilms聚酯類薄片.PolymerThickFilm(PTF)厚膜糊.Polymerization聚合.Polymide(PI)聚亞醯胺.PopcornEffect爆米花效應.Porcelain瓷材,瓷面.PorosityTest疏孔度試驗.PositiveActingResist正性光阻劑.PostCure后續硬化,后烤.PostSeparation后期分離,事后公離.PotLife運用期,鍋中壽命.Potting鑄封,模封.PowerSupply電源供應器.Preform預制品.Preheat預熱.Prepreg膠片,樹脂片.PressPlate鋼板.Press-FitContact擠入式接觸.PressureFoot壓力腳.Pre-tinning預先沾錫.PrimaryImage線路成像.PrintThrough壓透,過度擠壓..Probe探針.ProcessCamera制程用照像機.ProcessWindow操作范圍.ProductionMaster生產底片.Profile輪廓,部面圖,升溫曲線圖稜線.Propagation傳播.PropagationDelay傳播延遲.PuddleEffect水坑效應.PullAway拉離.PulsePlating脈沖電鍍法.PumicePowder浮石粉.Punch沖切.Purge,Purging淨空,淨洗.PurplePlague紫疫(金與鋁的共化物層).Pyrolysis熱裂解,高溫分解.*****Q*****QuadFlatPack(QFP)方扁形封裝體.QualificationAgency資格認証機搆.QualificationInspection資格檢驗.QualifiedProductsList合格產品(供應者)名單.QualitativeAnalysis定性分析.QualityConformanceTestCircuitry(Coupon)品質符合之試驗線路(樣板).QuantitativeAnalysis定量分析.Quench淬火,驟冷.QuickDisconnect快速接頭.Quill緯紗繞軸.*****R*****Rack挂架.RadialLead放射狀引腳.RadioFrequencyInterference(RFI)射頻干擾.RakeAngle摳角,耙角.RatedTemperature,Voltage額定溫度,額定電壓.Reactance電抗.RealEstate底材面,基板面.RealTimeSystem即時系統.Reclaiming再生,再制.Rediometer輻射計,光度計.ReeltoReel卷輪(盤)式操作.ReferenceDimension參考尺度.ReferenceEdge參考邊緣.Reflection反射.ReflowSoldering重熔焊接,熔焊.Refraction折射.RefractiveIndex折射率.RegisterMark對准用標記.Registration對准度.Reinforcement補強物.Rejection剔退,拒收.Relamination(Re-Lam)多層板壓合.Relaxation松弛.緩和.Relay繼電器.ReleaseAgent,ReleaseSheets脫模劑,離模劑.Reliability可靠度,可信度.ReliefAngle浮角.Repair修理.ResinCoatedCopperFoil背膠銅箔.ResinContent膠含量,樹脂含量.ResinFlow膠流量,樹脂流量.ResinRecession樹脂下陷.ResinRichArea多膠區,樹脂丰富區.ResinSmear膠(糊)渣.ResinStarveArea缺膠區,樹脂缺乏區.Resist阻膜,阻劑.Resistivity電阻系數,電阻率.Resistor電阻器,電阻.ResistorDrift電阻漂移.ResistorPaste電阻印膏.Resolution解像,解像度,解析度.ResolvingPower解析(像)力,分辨力.ReverseCurrentCleaning反電流(電解)清洗.ReverseEtchback反回蝕.ReverseImage負片影像(阻劑).ReverseOsmosis(RO)反(逆滲透).Reversion反轉,還原.Revision修正版.改訂版.Rework(ing)重工,再加工.Rhology流變學,流變性質.RibbonCable圓線纜帶.Rigid-FlexPrintedBoard硬軟合板.Ring套環.Rinsing水洗,沖洗.Ripple紋波(指整流器所輸出電流中不穩定成分).RiseTime上升時間.Roadmap線路與零件之布局圖.Robber輔助陰極.RollerCoating輥輪塗布.RollerCoating滾動塗布法.RollerCutter輥切機.RollerTinning輥錫法,滾錫法.Rosin松香.RotaryDipTest擺動沾錫試驗.Routing切外型.Runout偏轉,累勣距差.Rupture迸裂.*****S*****SacrificialProtection犧牲性保護層.SaltSprayTest鹽霧試驗.SandBlast噴砂.Saponification皂化作用.Saponifier皂化劑.SatinFinish緞面處理.ScaledFlowTest比例流量實驗.SchemeticDiagram電路概略圖.ScoringV型刻槽.Scratch刮痕.ScreenPrinting綱版印刷.Screenability綱印能力.Scrubber磨刷機,磨刷器.Scum透明殘膜.Sealing封孔.SecondarySide第二面.Seeding下種.SelectivePlating選擇性電鍍.Self-Extinguishing自熄性.Selvage布邊.Semi-AdditiveProcess半加成制程.Semi-Conductor半導體.Sensitizing敏化.SeparableComponentPart可分離式零件.SeparatorPlate隔板,鋼板.SequentialLamination接續性壓合法.SequesteringAgent螯合劑.Shadowing陰影,回蝕死角.Shank鑽針柄部.ShearStrength抗剪強度.ShelfLife儲齡.Shield遮蔽.ShoreHardness蕭氏硬度.Short短路.ShoulderAngle肩斜角.Shunt分路.SideWall側壁.Siemens電阻值.Sigma(StandardDeviation)標准差.Signal訊號.Silane硅烷.SilicaGel硅膠砂.Silicon硅.Silicone硅銅.SilkScreen綱版印刷,絲綱印刷.SilverMigration銀遷移.SilverPaste銀膏.Single-In-LinePackage(SIP)單邊插腳封裝體.Sintering燒結.Sizing上膠,上漿.Sizing上漿處理.SkinEffect集膚效應(高頻下,電流在傳遞時多集中在導體表面,使得道線內部通過電流甚少,造成內部導體浪費,并也使得表面導體部分電阻升高.SkipPrinting,SkipPlating漏印,漏鍍.SkipSolder缺錫,漏焊.Slashing漿經.SleeveJint套接.Sliver邊絲,邊余.Slot,Slotting槽口.Sludge於泥.Slump塌散.Slurry稠漿,懸浮漿.SmallHole小孔.Smear膠渣.Smudging錫點沾污.Snap-off彈回高度.Socket插座.SoftContact輕觸.SoftGlass軟質玻璃(鉛玻璃).Solder焊錫.SolderBall錫球.SolderBridging錫橋.SolderBump焊錫凸塊.SolderColumnPackage錫柱腳封裝法.SolderConnection焊接.SolderCost焊錫著層.SolderDam錫堤.SolderFillet填錫.SolderLevelling噴錫,熱風整平.SolderMasking(S/M)防焊膜綠漆.SolderPaste錫膏.SolderPlug錫塞(柱).SolderPreforms預焊料.SolderProjection焊錫突點.SolderSag焊錫垂流物.SolderSide焊錫面.SolderSpatter濺錫.SolderSplash賤錫.SolderSpreadTest散錫試驗.SolderWebbing錫綱.SolderWebbing錫綱.SolderWicking滲錫,焊錫之燈芯效應.Solderability可焊性.Soldering軟焊,焊接.SolderingFluid,SolderingOil助焊液,護焊油.SolidContent固體含量,固形分.SolidusLine固相線.Spacing間距.Span跨距.SparkOver閃絡.SpecificHeat比熱.Specification(Spec)規范,規格.Specimen樣品,試樣.Spectrophotometry分光光度計檢測法.Spindle主軸,鑽軸.SpinningCoating自轉塗布.Splay斜鑽孔.SprayCoating噴著塗裝.Spur底片圖形邊緣突出.Sputtering濺射.Squeege刮刀.StaggerGrid蹣跚格點.Stalagometer滴管式表面張力計.Stand-offTerminals直立型端子.Starvation缺膠.StaticEliminator靜電消除器.SteelRuleDie(鋼)刀模.Stencil版膜.StepandRepeat逐次重覆曝光.StepPlating梯階式鍍層.StepTablet階段式曝光表.Stiffener補強條(板).StopOff防鍍膜,阻劑.Strain變形,應變.Strand絞(指由許多股單絲集束并旋扭而成的絲束).StrayCurrent迷走電流,散雜電流(在電鍍槽系統中,其直流電由整流器所提供,應在陽極板與被鍍件之間的匯電杆與槽體液體中流通,但有時少部分電流也可能會從槽體本身或加熱器上迷走,漏失).StressCorrosion應力腐蝕.StressRelief消除應力.Strike預鍍.Stringing拖尾.Stripline條線.Stripper剝除液(器).SubstractiveProcess減成法.Substrate底材.SupperSolder超級焊錫.SupportedHole(金屬)支助通孔.SurfaceEnergy表面能.SurfaceInsulationResistance表面絕緣電阻.SurfaceMountDevice表面粘裝元件.SurfaceMountingTechnology(SMT)表面粘裝技術.SurfaceResistivity表面電阻率.SurfaceSpeed鑽針表面速度.SurfaceTension表面張力.Surfactant表面潤溼劑.Surge突流,突壓.SwagedLead壓扁式引腳.SwellingAgents,Sweller膨松劑.Swimming線路滑離.SyntheticResin合成樹脂.*****T*****Tab接點,金手指.TaberAbraser泰伯磨試器.Tackiness粘著性,粘手性.TapeAutomaticBonding(TAB)卷帶自動結合.TapeCasting帶狀鑄材.TapeTest撕膠帶試驗.TapeUpMaster原始手貼片.TapedComponents卷帶式連載元件.TaperPinGauge錐狀孔規.Tarnish污化.Tarnish污化,污著.Teflon鐵氟龍(聚4氟乙烯).Telegraphing浮印,隱印.TemperatureProfile溫度曲線.Template模板.TensileStrength抗拉強度.Tensiomenter張力計.Tenting蓋孔法.Terminal端子.TerminalClearance端子空環.Tetra-Etch氟樹脂蝕粗劑.TetrafunctionalResin四功能樹脂.ThermalCoefficientofExpansion(TCE)熱膨脹系數.ThermalConductivity導熱率.ThermalCycling熱循環,熱震盪.ThermalMismstch感熱失諧.ThermalRelief散熱式鏤空.ThermalVia導熱孔.ThermalZone感熱區.ThermocompressionBonding熱壓結合.Thermocouple熱電偶.Thermode發熱體.ThermodeSoldering熱模焊接法.ThermogravimetricAnalysis,(TGA)熱重分析法.ThermomechanicalAnalysis(TMA)熱機分析法.Thermoplastic熱塑性.Thermosetting熱固性.ThermosonicBonding熱超音波結合.Thermount聚醯胺短纖蓆材.Thermo-Via導熱孔.ThickFilmCircuit厚膜電路.Thief輔助陽極.ThinCopperFoil薄銅箔.ThinCore薄基板.ThinFilmTechnology薄膜技術.ThinSmallOutlinePackage(TSOP)薄小型勣體電路器.Thinner調薄劑.Thixotropy抗垂流性,搖變性.ThreePointBending三點壓彎試驗.Three-LayerCarrier三層式載體.ThresholdLimitValue(TLV)極限值.ThroughHoleMounting通孔插裝.ThroughPut物流量,物料通過
本文档为【PCB英文术语整理】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: ¥15.0 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
中小学教育资料
暂无简介~
格式:xls
大小:184KB
软件:Excel
页数:30
分类:批发和零售业
上传时间:2022-12-22
浏览量:0