首页 QuartusII使用教程-完整实例

QuartusII使用教程-完整实例

举报
开通vip

QuartusII使用教程-完整实例第一步:打开软菜单栏件快捷工具栏资源管理窗口工作区任务管理窗口信息栏NUMQuartus”入门教程一个Verilog程序的编译和功能仿真)QuartusII是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的设计方法来设计出数字系统。接下来我们对这种智能的EDA工具进行初步的学习。使大家以后的数字系统设计更加容易上手。快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到...

QuartusII使用教程-完整实例
第一步:打开软菜单栏件快捷工具栏资源管理窗口工作区任务管理窗口信息栏NUMQuartus”入门教程一个Verilog程序的编译和功能仿真)QuartusII是Altera公司推出的专业EDA工具,支持原理图输入、硬件描述语言的输入等多种输入方式。硬件描述语言的输入方式是利用类似高级程序的 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 方法来设计出数字系统。接下来我们对这种智能的EDA工具进行初步的学习。使大家以后的数字系统设计更加容易上手。快捷工具栏:提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。菜单栏:软件所有功能的控制选项都可以在其下拉菜单中找到。信息栏:编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。第二步:新建 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 (file>newProjectWizard)所建工程的保存路径1工程名称:2添加已有文件(没有已有文件的直接跳过next)如果有已经存在的文件就在该过程中添软,件将直接将用户所添加的文件添加到工程中。AddA|3选择芯片型号(我们选择MAX3000A系列下的EPM3256AQC208-10芯片)所选的芯片的系列型号elec*f.he\快速搜索所需的芯片.—选择芯片jI/I1next)(注:如果不下载到开发板上进行测试,这一步可以不用设置4选择仿真,综合工具(第一次实验全部利用quartus做,三项都选None,然后SfiMlatkonToname:选择时序分析仪theoth«rEDAtookjinadditiontoth尊Quattus11software■*usedwith(hea口〃。Des^nEntr^/'Svntheai::Todncirrie'《N口门豆・TiniigAndrisFiriish选择第三方综合工具,如果果用Quartus内部综合工具则选择none选择第三方仿真工具,如果果用Quartus内部仿真工具则选择noneTodnarr>e:new>VHDLfile),新建完成之后要先保存。我们选择VerilogHDLFile设计文件格式既选择Verilog文本输入形式第四步:编写程序以实现一个与门和或门为例,Verilog描述源文件如下:moduletest(a,b,out1,out2);inputa,b;Outputout1,out2;assignout1=a&b;assignout2=a|b;endmodule然后保存源文件;第五步:检查语法(点击工具栏的这个按startAnalysis&synthesis)钮语法检查成功,没Sror级别以上的错误点击确定完成语法第检六查步:(锁定引脚,点击工具栏的(pinplanner))(注:如果不下载到开发板上进行测试,引脚可以不用分配)口00。七切口色士C':.口齿:fj7OA0O工」.-'J2々^」.,,.卜*JOO6卫"密守一各个端口的输入输出顶层某块的输入输出口与物理的芯片端口想对应双击location为您的输入输出配置引脚该窗口显示了语法检查后的详信细息,包括所使用的io口资源的多少等内容,相应的英文名大家可自己查阅第七步:整体编译(工具栏的按钮startComplilation))该窗口给出综合后代码的资源使用情况既芯片型号等等信息。选择为使用端第八步:功能仿真(直接利用quratus进行功能仿真)_1将仿真类型设置为功能仿真(Assignments>setting>SimulatorSettings〉下拉>Function)Functional 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 示功能仿真,既不包括时序信息,timinng表示时序仿真。加入线及寄存器的延时信息Speciliesthe^944liNWMonloperlormfcrtSe亡刈虫Smi4atnnFocus2建立一个波形文件:new>VectorWaveformFile)添加波形文件作为信号输出件文,以便观察信号的输出情OK然后导入引脚(双击Name下面空白区域>NodeFinder>list>点击):接下来设置激励信号(单击>选择>Timing>Multipliedby1)Timing踊定CountValue设置仿真的开始及结束时间gWrttime:|0Endtime:|10Transitionsoccur设置输入信号周期石包absoluteCountevery[l0.0MuRpliedty:1我们自定义的输入信号设置b信号源的时候类同设置a信号源,最后一步改为Multipliedby2然后要先生成仿真需要的网表(工具栏processing>GenerateFunctionalSimulationNet)list接下来开始仿真(仿真前要将波形文件保存,点击工具开始仿真):栏$1巾th0Function".infer由a,b两个信号经过我设计的模块产生的结-»—tt■■,■*i―jji^+,।--•*-f-p--p-第仿九真步通:下过载。(点击缆,单击弹出窗口的“or观察波形,刚好符合我们的逻辑。功能(ProgrammeD,再点击HardwareSetup配直下载电AddHardware”按钮,选择并口下载ByteBlasterMVByteBlasterMV",单击“Close”按钮完成设置。CPLD器件生成的下载文件后缀名为.pof,点击下图所示方框,选中下载文件,然后直接点击start按钮开始下载)下载进度条点击该按钮开始下载下载是该选项必须打勾FFFFFFFFPioyr^tn/.Con弛玉H^dwar«Setup..NoH邳d闷营出EnableleahtimeISPloJowbackgroundprogiamming(foiLt」:IIdevices)DeviceEP2C?OFe96Check5udrt0O5EM7CIQi^rtusII-E/LXQ/国家精品课程/软件测试/tee*-ti
本文档为【QuartusII使用教程-完整实例】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
白学芝
热爱爱问
格式:doc
大小:555KB
软件:Word
页数:16
分类:
上传时间:2023-02-11
浏览量:2