首页 MIL-STD-1553B数据总线协议学士学位论文

MIL-STD-1553B数据总线协议学士学位论文

举报
开通vip

MIL-STD-1553B数据总线协议学士学位论文 1 绪论 1.1 引言 随着科技的发展及战争的需要,战车、舰船、飞机等武器平台上电子设备越来越多,越来越复杂,于是将电子设备按一定的协议联网加以有效地综合,使之达到资源和功能共享已成为必然的要求。电子综合的支撑技术是联网技术,而武器平台上的联网技术不同于一般的局域网络技术,它特别强调网络的可靠性和实时性[1]。1553B总线最初是在七十年代末为适应飞机的发展由美国提出的飞机内部电子系统联网标准,其后由于它的高可靠性和灵活性而在其他的机动武器平台上也得到了较广泛的应用。 MIL-STD-1553B是70年代发展...

MIL-STD-1553B数据总线协议学士学位论文
1 绪论 1.1 引言 随着科技的发展及战争的需要,战车、舰船、飞机等武器平台上电子设备越来越多,越来越复杂,于是将电子设备按一定的 协议 离婚协议模板下载合伙人协议 下载渠道分销协议免费下载敬业协议下载授课协议下载 联网加以有效地综合,使之达到资源和功能共享已成为必然的要求。电子综合的支撑技术是联网技术,而武器平台上的联网技术不同于一般的局域网络技术,它特别强调网络的可靠性和实时性[1]。1553B总线最初是在七十年代末为适应飞机的发展由美国提出的飞机内部电子系统联网标准,其后由于它的高可靠性和灵活性而在其他的机动武器平台上也得到了较广泛的应用。 MIL-STD-1553B是70年代发展起来的“ 飞机内部时分制指令响应式多路传输数据总线”,它具有可靠性高、速度快、反应灵敏、双冗余等特点,特别适用于快速反应武器系统[2]。虽然其传输速率只有1Mbits,但它并没有因后来发展起来的高速网遭淘汰, 而是随微电子技术和计算机技术的发展而不断提高。世界上许多集成电路公司和厂家都不断开发和生产集成度更高、通用性更强的1553B总线系列器件。这些器件优化了1553B总线通讯接口,减轻了主机的通讯负担,从而提高了系统的可靠性[3]。 1.2国内外1553B总线研究发展状况及涉及领域 随着时代的发展,对于增加飞机推力、改善气动性能等技术的成熟,航空系统设计任务的重点,逐渐集中在飞机内部的电子设计上。与此同时,信息工程、计算机技术、控制技术、电子技术都有了长足的进步。航空电子综合化技术就是在这样的背景下产生的[3]。技术的核心问 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 是实现信息采集、处理、分配、存储的一个系统。MIL-STD-1553B多路总线是综合化航空电子系统设备间的数据交换纽带,它将所有的综合化航空电子子系统连接在一起,共同构成具有特殊性的分布式计算机网络,从而实现综合系统内部的信息共享和系统综合化控制。 航空电子系统中,不仅需要不同的硬件接口来应付不同的航空设备,而且航空设备内部接口连线也十分复杂和混乱,可靠性能也不高[3]。为了解决这个状况,提出了在航空电子系统中使用数据总线,使得不同的航空电子设备之间能够互相通信。美国军方提出的MIL-STD-1553B数据总线协议就是在航空电子综合化技术发展过程中形成的现代航空机载系统设备互联的网络接口标准。从推出到现在,经过三十多年的发展,1553B总线技术也在不断的改进。目前1553B总线已经成为在航空航天领域占统治地位的总线标准。 国内对 1553B 总线协议进行了跟踪研究,制定了相应的国军标 GJB289A,科研院所和相关单位在这方面做了大量的工作,也取得了一定的成绩。如成都恩菲特公司自主研发的 eph31580 型芯片[1]。如图1.2所示: 图1.1 成都恩菲特eph31580 型芯片 1.3本论文的研究内容及安排 从接口实现的具体功能出发,采用自顶向下的设计思想,结合大型可编程逻辑器件的特点,提出了一种基于FPGA的1553B总线接口系统的设计方法。对系统进行了总体分析及结构设计,使用硬件描述语言VHDL对设计进行了描述,最后在FPGA上进行了实现。 1553B总线系统的关键核心部分是总线接口芯片,在充分检索相关资料后,对MIL-STD-1553B数据总线协议进行分析研究,设计基于FPGA的1553B总线控制器,并要设计预留工作方式选择信号及控制信号,以可将总线控制器、远程终端接口、总线监视器结合起来以达到通用航空总线接口的功能。完成相应的软件系统语言程序最后经综合和仿真验证后,在特定的FPGA中实现。 采取的设计步骤安排如下: 第1章:主要阐述了MIL-STD-1553B总线技术的国内外发展情况以及相关领域的运用。 第2章:查找一些MIL-STD-1553B数据总线协议的资料,并对其进行初步的制定步骤。 第3章:结合相关 关于书的成语关于读书的排比句社区图书漂流公约怎么写关于读书的小报汉书pdf 籍和设计方法对1553B总线接口提出总体的设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 。 第4章:应用1553B总线接口的具体设计与实现FPGA,并最后对其中每个小模块的设计作详细的设计。 第5章:1553B总线接口试验分析,主要介绍总线接口芯片测试系统设计及其测试的结果分析以及系统性能分析。 第6章:总结了本文的研究设计工作,并对将来进一步的工作做了展望。 2 MIL-STD-1553B数据总线协议简介 2.1 1553B数据总线的应用和特点 由于其传输速率高,设备之间连接简单灵活,噪声容限高,通信效率高而且可靠,为美军标所采用,将其作为机载设备相互通信的总线标准。从推出到现在,经过三十年的发展,1553B总线技术也在不断的改进。目前1553B总线已经成为在航空航天领域占统治地位的总线标准[4]。 MIL-STD-1553B的其可靠性、稳定性的特点,在美国等过早已得到认可,且对1553B的使用,已由军飞机的使用扩展到坦克、船舶、卫星、武器等领域。 图2.1 1553B航空总线的大体应用领域 综合起来1553B总线有以下几个优良特点[5][6]: (1)实时性好,1553B总线的传输码速率为1Mbps,每条消息最多包含32个字,传输一个固定不变的消息所需时间短。 (2)合理的差错控制措施和特有的方式命令,为确保数据传输的完整性,1553B采用了合理的差错控制措施——反馈重传纠错方法。当总线控制器BC向某一终端RT发出一个命令或发送一个消息时,终端应在给定的响应时间内发回一个状态字,如果传输的消息有错,终端就拒绝发回状态字,由此报告上次消息传输无效。而方式命令不仅使系统能完成数据通讯控制任务,还能调查故障情况并完成容错管理功能。 (3)总线效率高, 总线形式的拓扑结构对总线效率的要求比较高,为此1553B对涉及总线效率指标的某些强制性要求如命令响应时间、消息间隔时间以及每次消息传输的最大和最小数据块的长度都有严格限制。 (4)具有命令响应以及“广播”通讯方式,BC能够以“广播”方式向所有RT发送一个时间同步消息,这样总线上的所有消息传输都由总线控制器发出的指令来控制,相关终端对指令应给予响应并执行操作。这种方式非常适合集中控制的分布式处理系统。 2.2 编码方式 1553B总线上数据是以双相曼彻斯特编码的方式传输的。曼彻斯特码是一种广泛应用于航空电子综合系统中的、线数据传输的双极性码。它在每个码位中点存在一个跳变。1信号是一个由l到0的负跳沿,而O信号是由0到1的正跳沿。它本身包含了自定时的信息,因此它不需要独立的信道来传输位定时信息,它可以直接从数据中分离出定时时钟,在传输代码信息的同时,也将时钟同步信号一起传输到对方,每位编码中有一跳变,不存在直流分量,因此具有自同步能力和良好的抗干扰性能[7]。 它是主要用在数据同步传输中的一种编码方式。图2.2给出了 ManehesterIx编码的方式 同时此种编码方式还能与变压器祸合相协调,十分适合用在变压器祸合形式,长度为6m(20英尺)左右的场合,在航空电子综合系统中,它是最主要的编码形式[8]。 图2.2 Manehester11编码 2.2.1 FPGA的内部结构应用特点: 1)采用FPGA设计ASIC电路(专用集成电路),用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和IO引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容[9]。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。 2.3 硬件描述语言(HDL) 硬件描述语言HDL(Hardware Description Language )是一种用形式化方法来描述数字电路和数字逻辑系统的语言。数字逻辑电路设计者可利用这种语言来描述自己的设计思想,然后利用EDA工具进行仿真,再自动综合到门级电路,最后用ASIC或FPGA实现其功能[7]。 举个例子,在传统的设计方法中,对2输入的与门,我们可能需到标准器件库中调个74系列的器件出来,但在硬件描述语言中,“&”就是一个与门的形式描述,“C = A & B”就是一个2输入与门的描述。而“and”就是一个与门器件[10]。 硬件描述语言发展至今已有二十多年历史,当今业界的标准中(IEEE标准)主要有VHDL和Verilog HDL 这两种硬件描述语言。 2.3.1 VeriIog HDL 在数字电路设计中,数字电路可简单归纳为两种要素:线和器件。线是器件管脚之间的物理连线;器件也可简单归纳为组合逻辑器件(如与或非门等)和时序逻辑器件(如寄存器、锁存器、RAM等)。一个数字系统(硬件)就是多个器件通过一定的连线关系组合在一块的。因此,Verilog HDL的建模实际上就是如何使用HDL语言对数字电路的两种基本要素的特性及相互之间的关系进行描述的过程。 随着Verilog-XL算法的成功,Verilog HDL语言得到迅速发展。1989年,Cadence公司收购了GDA公司,Verilog HDL语言成为Cadence公司的私有财产。1990年,Cadence公司决定公开VerilogHDL语言,于是成立了OVI(Open Verilog International)组织,负责促进Verilog HDL语言的发展。基于Verilog HDL的优越性,IEEE于1995年制定了Verilog HDL的IEEE标准,即Verilog HDL1364-1995;2001年发布了Verilog HDL 1364-2001标准。在这个标准中,加入了Verilog HDL-A标准,使Verilog有了模拟设计描述的能力[11][12]。Verilog HDL适合算法级(Algorithm)、寄存器传输级(RTL)、逻辑级(Logic)、门级(Gate)和板图级(Layout)等各个层次的设计和描述[11]。具体如表2.2所示。 表2.2 不同层级的描述方式 2.3.2 VHDL语言 VHDL 语言能够成为标准化的硬件描述语言并获得广泛应用,它自身必然具有很多其他硬件描述语言所不具备的优点[1]。归纳起来 VHDL 语言主要具有以下优点:   (1) VHDL 语言功能强大,设计方式多样 VHDL 语言具有强大的语言结构,只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。同时,它还具有多层次的电路设计描述功能。此外,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现, 这是其他硬件描述语言所不能比拟的。VHDL 语言设计方法灵活多样,既支持自顶向下的设计方式,也支持自底向上的设计方法;既支持模块化设计方法,也支持层次化设计方法。 (2) VHDL 语言具有强大的硬件描述能力[10] VHDL 语言具有多层次的电路设计描述功能,既可描述系统级电路,也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时,VHDL 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。VHDL 语言的强大描述能力还体现在它具有丰富的数据类型。VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。 (3) VHDL 语言具有很强的移植能力 VHDL 语言很强的移植能力主要体现在:对于同一个硬件电路的 VHDL 语言描述,它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。 (4) VHDL 语言的设计描述与器件无关    采用VHDL 语言描述硬件电路时,设计人员并不需要首先考虑选择进行设计的器件。这样做的好处是可以使设计人员集中精力进行电路设计的优化,而不需要考虑其他的问题。当硬件电路的设计描述完成以后,VHDL 语言允许采用多种不同的器件结构来实现。   (5) VHDL 语言程序易于共享和复用 VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中,设计人员可以建立各种可再次利用的模块,一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计,而是一些模块的累加。这些模块可以预先设计或者使用以前设计中的存档模块,将这些模块存放在库中,就可以在以后的设计中进行复用[11]。 由于 VHDL 语言是一种描述、模拟、综合、优化和布线的标准硬件描述语言,因此它可以使设计成果在设计人员之间方便地进行交流和共享,从而减小硬件电路设计的工作量,缩短开发周期。 2.3.3 veriIog HDL和VHDL的比较 目前最主要的硬件描述语言是VHDL和Verilog HDL。VHDL发展的较早,语法严格,而Verilog HDL是在C语言的基础上发展起来的一种硬件描述语言、语法较自由(目前ASIC设计多采用Verilog语言)[10]。VHDL和VerilogHDL两者相比,VHDL是一种高级的描述语言,通常更适合行为级和RTL级的描述,可以用于高级建模,而VerilogHDL则是一种比较低级的描述语言,更适合于RTL级,尤其是门级电路的描述,易于控制电路的资源。另外,从两种语言推进的过程来看,VHDL语言偏重标准化考虑,而Verilog语言由于是在Cadence扶植下针对EDA工具开发的硬件描述语言,因此,跟EDA工具的结合更为密切。学习VHDL比学习Verilog难一些,但Verilog自由的语法也使得的初学者容易上手但也容易出错。国外电子专业很多在本科阶段教授VHDL,在研究生阶段教Verilog。从国内来看,VHDL的参考书很多,便于查找资料,而VerilogHDL的参考书则很少,这给学习Verilog HDL带来不少困难。结合两者的优点,经常采用VHDL和VerilogHDL两种混合语言进行电子线路描述,使得利用语言描述硬件的效果更佳[12][13]。 2.4 1553字结构 1553B总线协议规定,总线上数据是以曼彻斯特编码的字格式进行传输的。协议规定每次信息传输包括命令字、数据字(指令字和状态字)等几种字格式。每种字的字长为20位,因为总线数据传输速率是1Mb1ts,所以传输每一位需要 1us的时间,传输一个完整的字需要20us。这几种字格式的有效信息位是16位,每个字的前3位为同步位,用来表示数据到来并区分为何种字类型。最后一位为校验位,1553B协议规定总线上传输的数据全部采用奇校验,这种做法方便各个终端设备的接口统一,保证数据传输的可靠性。由发送端为数据进行补奇,接收端进行奇校验。数据是以曼彻斯特编码的方式传输的。同步头是判断数据类型和捕获总线上数据的重要信息,同步头总共占用3个数据位,它的特点就是电平变化以1.5个数据位为单位,因此接收端只要判断电平在1.5个数据单位变化就认为是同步头。同步头分为两种:前 1.5个数据位为高电平,后1.5个数据位为低电平的同步头用来表示这个字为命令字(方式指令字)或者状态字;前1.5个数据位为低电平,后1.5个数据位为高电平的同步头用来表示这个字为数据字[15]。 图2.3 1553B总线命令字数据字状态字格式图 2.5 155B总线的网络拓扑结构 MIL-STD-1553B总线网络由终端、字系统和总线传输介质组成。终端是使数据总线和子系统相连接的电子组件。字系统为多路数据总线上接收数据传输服务的装置或功能单位。总线控制器(BC)是总线系统上传输的信息并有选择地提取信息以备后用的终端。 1553B总线系统采用命令、响应式传输的操作方式。只有当总线控制器发出命令后,远程终端才能做出响应,也即远程终端永远是被动的,即使它想给BC或者给别的RT发信息。 2.6 对于BC功能的155B工作模式 1553B的三种工作模式:总线控制器(Bus Controller),远程终端(Remote Terminal),总线监控器(Bus Monitor)。 其中总线控制器(BC):对1553B总线的控制和管理,也是所有信息传输动作的发起者。任何时刻总线上只有一个总线控制器,其负责发送命令、参与数据传输、接收状态响应和检测总线系统。 2.7 155B总线的传输方式和数据格式   1553B总线的传输速度是1Mbs,采用曼彻施特II型编码,半双工工作方式。信号以串行数字脉冲编码调制(PCM)形式在数据总线上传输。逻辑1为双极编码信号10,即一个正脉冲继之一个负脉冲,逻辑0为双极编码信号01,即一个负脉冲继之一个正脉冲[14][15]。 图2.4 155B总线的传输方式 1553B信息流由一串1553B消息由命令字、数据字、状态字组成。所有1553B字都是20bit长,每一个字都应是:3为同步头+16位数据命令状态为+1为奇偶校验。同步和奇偶校验位被1553硬件用在确定1553信息格式和数据错误的时候[17]。 3 155B3总线接口设计总体方案 3.1 1553B数据总线系统的设计 总线系统原理工作硬件组成如图3.1 (耦合器) da ad cs (耦合器) 图3.1 总线总体设计思路组成 1553B总线接口主要包括3大模块,双通道收发器、1553B协议处理器和接口寄存器,以及使用FPGA的片内双口RAM存储器。双通道收发器属于电平转换设备,不能够在FPGA内部实现,可以用收发芯片等来完成其功能。1553B协议处理器完成总线控制器的主要功能。CPU处理器,EPROM,RAM,信道切换逻辑等组成,完成接收与发送消息的打包和解包处理,以便于子系统接收发送。与宿主机接口模块实现宿主机与总线接口板间的接口,连有双端口存储器,驱动电路和译码电路。双口存储器主要用于主机和总线接口板进行信息交换的缓冲区,减少主机的负荷。 3.2 1553B协议处理器结构 1553B协议处理器是一个1553B总线接口的核心部分。它完成包括收发消息,数据流控制等多种协议处理流程。因为总线接口可以分为总线控制器BC、远程终端RT和总线监视器BM三种类型,所以协议处理器按功能可以划分为BC、RT或者BM。本设计要实现的BC和RT在有些功能上是相同的,所以部分模块是BCRT通用的。 总线控制器BC实现的功能[18] 总线控制器是总线信息传输的发起者,要实现的具体功能包括: (l)信息的正确发送:包括命令字方式指令的写入、添加同步头、字计数、曼彻斯特编码等。 (2)信息的正确接收:包括状态字和数据字的接收、同步头识别、字计数、曼 彻斯特解码、产生中断及奇偶校验等。 (3)字消息的处理:包括命令字提取,双命令字的协调、状态字的分析、接口 寄存器的读写、自测试、存储器及缓冲器读写等。 所以,以下的实验内容主要是围绕着这子模块进行编写和设计。如图3.3 就是对该些部分的划分结构。 图3.2 总线接口系统结构图 (1)模拟收发器部分:简要来说接收部分是将双电平曼彻斯特码转化为单电平曼彻斯特码,而发送部分则是将单电平曼彻斯特码转化为双电平曼彻斯特码,包括模拟接收器和模拟发送器两部分。 ①模拟接收器:数字逻辑与数据总线相接的最基本前端模拟部件。由于FPGA纯粹基于数字电路设计,所以对于模拟接收中的模拟量处理部分,要经过外部模拟电路进行实现。尽管总线上的信号是以数字形式传输的,但连接终端的双绞屏蔽线电缆,其特性会引起信号衰减,终端收到的信号常是一个失真的正弦波。总线上的输入信号通过滤波消除了高频噪声。门限检出为抑制低频噪声创造了条件且具有与逻辑检测相兼容的数字输出。并将双极性的曼彻斯特码转换为单极性的曼彻斯特码。 ②模拟发送器:数字逻辑与数据总线相接的模拟部件,它是将FPGA发出的单极性信号转变为符合MIL-STD-1553B标准的双极性信号。 (2)总线接口部分:接收部分实现的功能是将曼彻斯特码转换为单极性不归零码(NRZ码),并且实现对同步头的检测,以及奇偶位的校验,实现串并转换。发送部分实现的功能是将曼彻斯特码转换为双极性不归零码(NRZ码),并且实现对同步头的编码,实现状态字、数据字和命令字的编码,以及奇偶位的产生,进行并串转换。根据1553B通讯协议的规定,发送器要禁止发送大于800微秒的消息。包括数字接收器,数字发送器和发送超时检测三部分。 ①数字发送器:该部分即为曼彻斯特码编码,它是将单极性不归零码转换为单极性的曼彻斯特码,而且实现对同步头的编码,以及奇偶位的产生,并对数据进行并串转换。 ②数字接收器:该部分即为曼彻斯特码解码,功能与发送器刚好相反,是将单极性曼彻斯特码转换为单极性不归零码,同时实现对同步头的检测,以及奇偶位校验位检测,位计数检测,同步时钟的提取,以及数据的串并转换。 ③发送超时检测:在MIL-STD-1553B协议标准中规定发送器要禁止发送大于800微秒的消息。本模块就是对发送器进行计时控制,当发送器发送的消息时间大于800微秒时,它就关断发送器。 (3)总线协议处理模块:实现命令字、状态字以及方式命令译码,进行RT地址比较,子地址比较,进行命令字、状态字和方式命令译码,进行错误检测及发送中断信号等。并要为其余模块发送相应控制量,实现对总线接口的控制。包括命令字状态字译码及数据控制,地址控制,检错控制,命令字发送及状态字设置,发送器控制等几部分。 ①命令字状态字译码及命令字发送控制部分:该模块实现的功能是在BC工作方式下发送命令字,且在BCRTMT三种工作模式下对命令字或状态字进行译码,产生相应的控制信号实现对其他模块的控制,如对地址控制部分,检错控制部分,命令字发送状态字设置部分,以及发送器控制部分等,本部分是接口芯片的一个核心部分。 ②地址控制部分:该模块实现对各工作方式下输入双口RAM的地址的控制以及读写使能。包括地址变换和地址选择两部分。 ③检错控制部分:该模块用于检测消息传输过程中发生的错误,包括字计数检测及RT响应超时检测,并且根据其它模块检测到的RT地址错误、奇偶校验错误和位计数错误等产生中断信号[17]。 ④状态字设置部分:该模块实现RT工作方式下的返回状态字设置,及对状态字和当前命令字和上一命令字进行存储,以备方式命令的消息方式的实现。 ⑤发送器控制模块:针对不同的工作方式的所需要发送的数据的选择。 ⑥时钟产生:对外部输入时钟进行处理产生不同频率的时钟。它实质上是一个计数器,对外部输入时钟进行分频处理。 (4)主处理器接口部分:实现主处理机与总线接口交换信息的功能,通过共享RAM来实现。包括并行通信接口、串行通信接口、存储器接口和双端口RAM。其中每部分的具体功能如下: ①存储器接口:它实际上是一个隔离器,对外部子系统处理器和总线接口芯片对双口RAM进行访问的信号进行处理,它不允许两者同时对双口RAM进行读或者写,当两者同时读或写时,进行相应控制,规定两者的访问优先级。 ②双口RAM:它是整个芯片的数据存储区,用以存储不同工作方式下的各类字,是传输消息的内容数据,也是处理器与总线接口芯片通信的数据交换媒介[18]。 ③并行通信控制接口:该部分主要实现总线接口芯片与主处理器并行通信。 ④串行通信接口:该部分即为了实现总线接口芯片的串行通信,在FPGA中嵌入UART(通用异步收发器),可以与具有UART的通信接口部件相连接,例如通过RS232总线与PC机进行串行通信。 3.3 总线接口寄存器模块功能 接口寄存器是以控制和状态寄存器来实现的,主要有以下几个寄存器:远程终端命令字寄存器:RT模式下,存储接收到的所有命令字和方式指令字。接收命令字寄存器:只在RT的模式下使用,信息完整的接收后,命令字会从远程终端命令字寄存器送入此寄存器[18]。 第一命令字寄存器:存放BC要发送的命令字,或者存放RT一>RT传输的第一个命令字。 矢量字第二命令字方式指令数据寄存器:在BC模式下,可以存放RT一>RT传输时的第二个命令字或者方式指令需要的数据字;在RT模式下,存放方式指令提取的矢量字。 第一状态字寄存器:存放RT返回的状态字,或者是RT一>RT模式下RT返回的第一个状态字。 同步第二状态字返回方式指令数据寄存器:在BC模式下,此寄存器既可以存放来自RT一>RT模式下传输的第二个状态字也可以存放来自RT的方式指令返回字:RT模式下,作为存放带数据字的方式指令字的数据字的寄存器。 操作寄存器:子系统用来控制总线接口的寄存器。配置整个接口的功能选择。方式指令寄存器:RT接收到的方式指令[14]。 4 1553B总线接口具体设计与实现 4.1总线BC功能 总线控制器BC是1553B总线上唯一可以发起和终止消息传输任务的终端。一条总线上只可以存在一个总线控制器,它是1553B总线的指挥和调度者。其主要功能是,向系统中的远程终端发出指令,控制终端的行为。包括发送广播指令,模式代码(mode code)指令;普通发送数据指令,普通接收数据指令。 作为总线控制器,要实现的任务包括: (1)数据字的正确接收:包括接收器,同步检出,数据检出,曼彻斯特n码错误检出奇偶检测,位字计数; (2)数据字的发送:包括发送控制,同步数据编码,时钟产生; (3)字消息的处理:包括,a接收部分,计数器,状态寄存器;b自测试部分;c主子系统接口部分,控制,数据地址,控制寄存器;d存储器缓冲器部分;e状态字译码部分,字计数识别,消息错误检出等任务[18]。 作为MILSTD一1553B总线的通用接口应完成以下功能:①将总线上的串行信息流转换成处理机可以处理的并行信息或者与之相反;②接收或发送信息时,能够识别或生成标准的1553B信息字和消息;③完成与处理机之间的信息交换,这包括1553B信息地址的分配,命令字(或状态字)的译码或返回状态字、发送数据字等。 4.2 1553B协议处理器BC功能模块设计 4.2.1 模拟收发器 模拟收发器是BCRTMT直接与传输电缆接口的关键部件,1553B总线采用的是双相码中的曼彻斯特码,本身包含了自定时的信息,同时它能与变压器祸合协调,十分适宜用于变压器祸合形式,电缆长度为500英尺左右航电综合系统中。简要的说就是将双电平曼彻斯特码转化为单电平曼彻斯特码,以及将单电平曼彻斯特码转化为双电平曼彻斯特码。 4.2.2 总线控制器BC设计 总线接口的每一种类型的终端的设计都包括模拟收发器、总线接口模块、总线控制模块、处理机接口模块四部分,都需要完成字处理和消息处理,而BC是总线上唯一被安排为执行建立和启动数据传输任务的终端,被指派启动数据总线上信息传输任务的终端。针对BC功能,其FPGA部分的逻辑结构如图4.1示。 外部时钟 外部控制信号 图4.1 总线控制器内部结构图 下面将结构图中的各小模块进行设计说明。 4.2.3 消息发送器 在BC模式下,需要涉及到发送指令文字,所以发送消息控制模块根据工作模式从接口寄存器中读取相应的指令字,从而判断消息传输模式是否带数据字等。然后控制发送单元将指令字和数据字发送出去。BC在发送命令字的同时也对发出的命令字进行译码,包括提取RT地址,以及要发送数据字的个数,来产生控制信号提供给其他模块。在RT模式只需要发送状态字、数据字和方式指令数据码,数据字计数需要从命令字的字计数字段提取,方式指令数据码是BC通过方式指令字读取的RT状态,包括上一状态字、上一命令字、矢量字等。 Moore型有限状态机的输出只与有限状态机的当前状态有关,与输入信号的当前值无关。在图4.2中描述了Moore型有限状态机的示意图. input state output current state clok reset 图4.2 Moore型有限状态机示意图 Moore型有限状态机在时钟clock脉冲的有效边沿后的有限个门延时后,输出达到稳定值。即使在一个时钟周期内输入信号发生变化,输出也会在一个完整的时钟周期内保持稳定值而不变。输入对输出的影响要到下一个周期才能反映出来,Moore型有限状态机最重要的特点就是将输入与输出信号隔离开来。 单进程Moore型有限状态机,其VHDL语言描述如下: Library ieee; Use ieee.std_logic_1164.all; Entity moore is Port(datain : in std_logic_vector(1 downto 0); Clk,clr : in std logic; q : out std_logic_vector(3 downto 0); End moore; Architecture behav of moore is Type st_type is (st0,st1,st2,st3,st4); Signal c_st : st_type; Begin Processs(clk,clr) Begin If clr=’1’ then C_st<=st0; q<=”0000”; Elsif clk event and clk=’1’ then Case c_st is When st0=>if datain”10” then c st<=st1; Else c_st<=st0; end if; q<=”1001”; When st1=>if datain”11” then c st<=st2; Else c_st<=st1; end if; q<=”0101”; When st2=>if datain”01” then c st<=st3; Else c_st<=st0; end if; q<=”1100”; When st3=>if datain”00” then c st<=st4; Else c_st<=st2; end if; q<=”0010”; When st4=>if datain”11” then c st<=st0; Else c_st<=st3; end if; q<=”1001”; When others=>c_st,=st0; End case; End if; End process; End behav; 其特点是组合进程和时序进程在同一个进程中,此进程可以认为是一个混合进程。注意在此进程中,CASE语句处于测试时钟上升沿的ELSIF语句中,因此在综合时,对Q的赋值操作必然引进对Q锁存的锁存器。这就是说,此进程中能产生两组同步的时序逻辑电路,一组是状态机本身,另一组是由CLK作为锁存信号的4位锁存器,负责锁存输出数据Q。与多进程的状态机相比,这个状态机结构的优势是,输出信号不会出现毛刺现象。这是由于Q的输出信号在下一个状态出现时,由时钟上升沿锁入锁存器后输出,即有时序器件同步输出,从而很好地避免了竞争冒险现象。 从输出的时序上看,由于Q的输出信号要等到进入下一状态的时钟信号的上升沿进行锁存,即Q的输出信号在当前状态中由组合电路产生,而在稳定了一个时钟周期后在次态由锁存器输出,因此要比多进程状态机的输出晚一个时钟周期,这是此类状态机的缺点。图4.3单进程Moore状态机的工作时序图: 图4.3 单进程Moore型状态机的工作时序 4.2.4寄存器 1:IO写寄存器 寄存器的写操作VHDL语言描述如下: write:proeess(strb,wr) FPGA的IO端口进程 begin if(wr’event and wr=’0’)then 写信号wr有效时继续执行该进程 if(ios=’0’ and strb=’0’)then 判断是信息否是写外部IO端口 case addr is when”11111”=>commandword<=datadsp; 写入IO地址IF when”11110”=>dataword<=datadsp; 写入IO地址IE when”11101”=>timerword<=datadsp; 写入IO地址ID when others=>null; end case; end if; end if; end proeess; 其操作波形如图4.4所示:图中在wr下降沿,在strb和ios信号为低电 平时分别对IO端口1F,lE,1D都进行了写操作。 图4.4 IO接口写操作 2:IO读寄存器 读寄存器的VHDL实现如下: read:process(strb,rd) 读FPGA的IO进程 begin if(rd’event and rd=’0’)then 读信号rd有效时执行该进程 if(ios=’0’ and strb=’0’)then 判断端口状态 case addr is when”11100”=>datadsp<=datal553; 读出1553B数据,地址IC when”11011”=>datadsp<=statusl553; 读出1553B状态,地址IB when”11010”=>datadsp<=timervalue; 读出计数器的值,地址IA when other=>datadsp=>”zzzzzzzzzzzzzzzz”; 读其它IO端口则总线置为高阻状态 end case; end if; else datadsp<= “zzzzzzzzzzzzzzzz”; end if; end process: 4.2.5 计数器 计数器单元的功能是实现一个4~12微妙的计数器,如图4.5所示。该计数器的输入信号有:(l)clock32m,32兆赫兹的时钟输入信号;(2)timerstart,计数器启动信号; TIMRER DATAIN[8…0] CLOCK32M TIMERSTART TIMERROR TIMERCLR TIMERCTL 图4.5 计数器方框图 (3)timerclr,计数器清零信号;(4)timerctl,计数器控制信号,当该端口收到一个高脉冲时,表示F206要写入新的计数值,该计数值由F206通过IO地址ID写入FPGA的寄存器timerword;计数器收到该信号后,就会把新的计数值装入计数周期单元中,该值由用户自己定义大小,它的取值范围M为: 4微妙 12微妙 计数器的时钟周期 计数器的时钟周期 可算得,128 384之间; (5)datain[0..8]这9位数据线是写入计数周期的数据输入;(6)timererror,它是一个输出信号,高脉冲表示计数器超时错误(超过了timerword的值的大小)。 在收到一个timerstart信号后,计数器开始计数,只要中间过程中没收到清零信号,当计数值到达timerword以后,就会在timererror输出一个电平,该输出会通知中断单元发送一个超时中断给F206。下面是产生timererror信号的VHDL语言描述: eounter:proeess(eloek32m) ——计数进程 begin if(cloek32m=’l’)then ——计数器时钟信号 if(timercl=’1’)then ——1为清零信号有效 timereontervalue<=imerword; ——如果清零则把计数最大值timerword装入 elsif(starttime=’1’)then ——是否收到计数器开始信号 timercontervalue<=timercontervalue+1; ——每一个loek32m时钟到后计数值加— if(timereontervalue>=timerword)then ——判断计数值是否大于最大值 timerword timererror<=’1’; ——送出超时错误信号 timercontervalue<=0: ——计数值清零 else timererror<=’1’; ——个时钟周期后清零 end if; end if; end if; end process; 4.2.6检错控制 MIL-STD-1553B总线为军用总线,广泛运用于航空电子综合系统中,1553B总线自身在兼顾实时性的条件下,采用了合理的差错控制措施即反馈重传纠错(ARQ)方法来保证数据传输的可靠性。而总线接口是实现航空电子综合化系统的关键部件,主要完成总线的信息综合,资源共享,任务协调和容错重构。航空电子系统对于可靠性的要求严格,所以对于MIL-STD-1553B总线接口的可靠性设计就显得尤为重要。 在该总线接口设计过程中要保证电路可靠的工作,错误检测是一个至关重要的部分,一般要提高系统工作的可靠性要从两方面出发:硬件和软件。在硬件上由于数字电路设计中对于故障的处理可采用冗余技术,将故障的影响掩盖起来。在本次设计中主要从软件上进行可靠性设计,对总线上的数据进行曼彻斯特 II型码字的解码、采用奇偶校验的方法对接收的数据进行编码检错,同时包括系统的时间范围要求如消息间隔和RT响应时间等,以及对消息进行字计数检测等,这样可以在增加少量硬件的基础上迅速地完成检错任务。 该检错控制模块分为三部分:字计数部分、RT,的响应超时检测和中断信号 产生部分。其间相互联系如图4.6所示。 1、字计数部分: 用于检测发送或接收消息的过程中数据字个数是否正确,判断是否发送接收 规定个数的数据字。工作在 BC 模式时,根据来自自身命令字分析模块的消息类型、 加载信号、数据字计数值,以及来自编解码模块的数据发送接收完毕信号、总线 上输入输出的曼彻斯特码正反相信号来控制字计数。 RT_ADDR RT地址输入 RESET 复位信号‘0’有效 CLK12 系统时钟 MODE 模式选择 RTCS RT响应超时信号 RXRX_N 接受曼彻斯特码 REQ_AYN 异步请求信号 TXTX_N 发送状态曼彻斯特码 CODE_ERR 总线码字错误信号 PARITY_ERR 奇偶校验错误 RAERR RT地址错误 STAERR 状态字错误位置位 T_R 当前消息类型 CNTERR 字错误信号 RX_RDY 接收数据完毕信号 TX_RDY 发送数据完毕信号 CNT 数据字计数值fa 发出中断INT1 LOAD 数据字技术加载信号 图 4.6 检错控制部分输入输出管脚说明图 该模块的工作过程如下:由总线上曼彻斯特码型的正反相信号来判断是否正在接收发送数据,再根据编解码模块的接收发送完毕反馈信号 RX_RDY、TX_RDY 来进行计数,每接收或发送出一个有效数据相应的计数信号减一;在接收时当计数器的值减到“0”时,进行 4us 时间的等待,如果无数据输入则认为数据个数不多于命令字规定的个数;若检测到 4us 内有数据输入则认为数据个数多于命令字规定的个数;当计数的值不为“0”时,进行计时,如果在 4us 内没有接收到有效的数据,就认为接收到的数据个数少于命令字规定的个数;当发送时,当计数器的值减到“0”时,就不再发送数据;无论是少于还是多于规定的数据字个数均属于字计数错误,发送错误标志信号 CNTERR。 2、错误中断产生部分: 错误中断产生部分根据系统中检测到的错误状态来进行中断位的置位。包括各个其它模块的异常。如奇偶校验错误、位计数、字计数错误、RT 响应超时、状态字返回错误标志、RT 地址错误等发生以及有异步服务请求时,中断信号 INT1置“1”。 4.2.7 双口RAM FIFO(First In First Out)全称是先进先出的存储器。先进先出也是FIFO的主要特点。 FIFO只允许两端一个写,一个读,因此FIFO是一种半共享式存储器。在双机系统中,只允许一个CPU往FIFO写数据,另一个CPU从FIFO读数据。而且,只要注意标志输出,空指示不写,满指示不读,就不会发生写入数据丢失和读出数据无效。双口RAM和FIFO利用多端口存储器设计多机系统。双口RAM和FIFO比较如下:(1)FIFO的仲裁控制简单,但其容量不如双口RAM。由于先进先出的特点,特别适合数据缓冲和突发传送数据。某些芯片的内部就集成小容量FIFO,例如,DSP的同步串口就集成两个FIFO,用于接收和发送数据缓冲。双口RAM的仲裁逻辑比FIFO复杂,但其容量较大。由于存储数据完全共享,适用于双机系统的全局存储器和大容量数据存储共享,例如大容量磁盘的数据缓存可采用双口RAM。(2)FIFO只给外部提供一个读和一个写信号,因此CPU用一个IO地址便可读或写FIFO,使硬件趋于简单,给编程也带来一些方便,但CPU不能对FIFO内部的存储器进行寻址。双口RAM由于有两套地址线,使硬件较FIFO复杂,但允许CPU访问内部存储单元,因此CPU之间可以自己定主和分配数据块以及数据单元,使软件设计更加灵活。 在异种机系统设计中,利用双口RAM和FIFO能够实时、快速、灵活和方便地进行相互通信,得到满意的效果。 data-in data-out wr rd wr-dr wr-en full clk rd-clk empty rd-en RST 图4.6 RAM读写模块 这两个数据缓冲器是直接面向总线端的,接收缓冲器直接接收来自曼彻斯特解码器输出的数据字,发送缓冲器中的数据字直接送给曼彻斯特编码器进行编码发送。同理,子系统通过FIFO向共享RAM读写数据也是同样的流程。RAM读写模块的流程如4.8图所示: 是 否 否 是 否 否 是 是 图4.7 读写RAM模块的流程图 4.3 BCRTMT通用终端设计 从上面所讲的来看,很明显终端接口可以特定地设计为RT、BC或MT单个类型。但更灵活的终端接口的设计也是用户所希望的,这种终端可以执行三种功能中的任何一种(TR,BC,MT)。下面将要讲的就是通用终端的功能部件与接口的设计。作为MIL-STD-1553B总线的通用接口应完成以下功能:①将总线上的串行信息流转换成处理机可以处理的并行信息或者与之相反;②接收或发送信息时,能够识别或生成标准的1553B信息字和消息;③完成与处理机之间的信息交换,这包括1553B信息地址的分配,命令字(或状态字)的译码或返回状态字、发送数据字等[21]。 通过对以上几点进行分析总结归纳得知,所有要实现的任务包括: (1)数据字的正确接收:包括接收器,同步检出,数据检出,曼彻斯特n码错误检出,奇偶检测,位字计数; (2)数据字的发送:包括发送控制,同步数据编码,奇偶产生,时钟产生; (3)字消息的处理:包括,a接收部分,命令字译码,状态字译码,地址识别,方式指令执行,字计数识别,错误消息检出;b发送部分,字计数,状态寄存器;c自测试部分;d子系统接口控制,数据地址,控制寄存器;e存储器缓冲区[19]。 4.4 总线接口系统的综合与实现 当所有模块完成代码编写且功能仿真正确无误后需要对整个设计进行综合实现,本设计在进行综合时因为所采用的FPGA器件逻辑资源比较丰富而没有对面积进行过多的约束,只是采用了Altera综合工具 XST 的默认面积约束。而在进行设计时,对这一方面的要求予以了考虑,采用模块复用的方法使整体设计占用面积较小。在进行时间约束时,利用综合工具找出了系统的关键路径,并对其进行了一定的修改,使得系统的最大工作频率得到了提高,满足了系统的工作要求。 本设计是采用Altera系列中的EP1C3T144C8处理器来实现的。在完成对芯片的综合后,可以用Altera的可编程逻辑器件开发工具对综合进行处理和分析[17]。 4.5 本章小结 本章是全文的重点,它首先在对1553B总线接口的功能进行详细分析的基础上,针对 BCRTMT 三种终端的功能提出了基于 FPGA的1553B协议芯片整体设计方案。其次在整体设计方案的基础上对各个小模块进行仿真实现功能、设计过程以及输入输出管脚说明等。然后对 BCRTMT 通用终端的设计进行了阐述,交代了工作流程。最后介绍了总线接口系统的综合与实现过程。 然而,具体的设计是否满足1553B 总线传输要求还需要对整个设计进行测试加以验证,对于暂时不能满足要求的部分要做进一步的调试。本文将在下一章中专门介绍设计的验证及测试结果。 5 1553B总线各个模块的仿真和测试 5.1协议芯片测试系统的系统设计 上一章详细介绍了基于 FPGA 的 1553B 总线接口的设计,在本章里将主要介绍总线接口芯片测试系统的设计及测试过程和测试结果。由于现成的开发板无法满足 1553B 总线测试的要求,因此自行设计并制作实验板来对上述设计进行测试验证。 耦 合 变 压 器 图 5.1 1553B 总线接口测试系统硬件结构图 实验板采用 FPGA+DSP 的方式,其硬件结构框图如图 5.1 所示。其中 FPGA用来进行 1553B 总线接口芯片的设计,而 DSP 则用来模拟外部主处理器对 1553B接口芯片进行数据的初始化以及测试验证等。数据信号流程方面:接收数据时1553B 总线上的输入信号经过模拟收发器,进入 FPGA 芯片EP1C3T144C8,在这里完成数据字的同步检出、数据检出、曼彻斯特II型码错误检出、奇偶校验等协议处理后的消息数据以双口 RAM 为媒介通过 16 位并行数据总线送入主处理器进行分析处理。在这里,DSP 处理器可以对数据进行打包或解包处理,即定义一个协议使得接收消息数据加上包头和包尾形成帧格式,再发给子系统。本文的设计仅为测试 1553B 接口芯片的设计,并未考虑与子系统的接口,因此 DSP 只用来做数据的读入读出验证,并未进行消息的解包和打包处理。同理,当发送数据时,DSP通过 16 位数据线将数据传输到EP1C3T144C8,由 FPGA 来完成包括发送控制、同步数据编码、奇偶位产生等功能,从 FPGA 出来的数据经过模拟收发器后送到数据总线上进行传输。 5.1.1 模拟收发器 模拟收发器是 BCRTMT 直接与传输电缆接口的关键部件,1553B 总线采用的是双相码的曼彻斯特码,本身包含了自定时信息,它能与变压器耦合相协调,十分适宜与变压器耦合的形式,电缆长度为 150 米左右的航空电子综合系统中。 模拟收发器的主要作用是将双电平的曼彻斯特码转化为单电平的曼彻斯特码,以及将单电平的曼彻斯特码转化为双电平的曼彻斯特码。在本设计中,模拟收发器采用国产芯片 JM763M125 来实现。当它作为模拟发送器时,主要是接收曼彻斯特 II 型单相 TTL 数据并将其转化为差分的相位调制的双相 1553B 总线数据;同理作为模拟接收器时主要是将总线上的 1553B 类型的双相差分数据转变为单相的 TTL 电平数据。其转换波形如图 5.3 所示: 图 5.2 模拟收发器转换波形图 1553B 总线要求,用作主总线和短接线的电缆都应为可屏蔽双绞线,模拟收发器可采用变压器耦合或直接耦合两种方式来联入总线。由于直接耦合不利于终端故障的隔离,因一个终端故障将造成整个总线系统的完全瘫痪,所以应该尽量避免在空中直接耦合短截线的耦合方式,而是采用变压器耦合的方式,变压器耦合的短截线长度理论上不超过 6m。如果使用变压器耦合短截线,则应注意如下几点。 (1)耦合变压器:变压器耦合结构如图 5.4 所示,较高匝数在短截线的隔离电阻一侧。变压器输入阻抗即从图中 B 点看去的开路阻抗应大于 3000 欧。 (2)故障隔离:隔离电阻应以和每个连接物串联的方式接到数据电缆上。 (3)电缆耦合:所有耦合变压器和隔离电阻,如前面(1)和(2)规定,应具有连续的可提供最小为 75%覆盖的屏蔽。该隔离电阻和耦合变压器应以尽可能短的短截线连到干线上。 (4)短截线电压要求:每条数据总线都应设计成图上 A 点的短截线对于数据 总线上任一终端的传输都有 1~14V 的线-线、峰-峰电压幅度。 图 5.3 用变压器耦合的数据总线接口 在本文的设计里,隔离变压器和模拟收发器分别采用的是 BTTC 公司的BUS-25679 和国产收发器芯片 JM763M125。隔离变压器和模拟收发器的连接图如图 5.5 所示。 图 5.4 隔离变压器与模拟收发器部分电路图 5.1.2 FPGA 芯片EP1C3T144C8 介绍及外围电路设计 现场可编程门阵列(FPGA,Field Programmable Gate Array)的出现是超大规模集成电路(VISI)技术和计算机辅助设计(CAD)技术发展的结果。FPGA器件集成度高、体积小,具有通过用户编程实现专门应用的的功能。它允许电路设计者利用基于计算机的开发平台,经过设计输入、仿真、测试和校验,直到达到预期的效果。利用FPGA可以大大缩短系统的研制周期,减少资金投入。更吸引人的是采用FPGA器件可以将原来的电路板级产品集成为芯片级产品,从而降低了功耗,提高了可靠性,同时还可以很方便的对设计进行在线修改。FPGA器件成为研制开发的理想器件,特别适于产品的样机开发和小批量生产,因此人们也把FPGA称为可编程的ASIC。 可以断定FPGA在结构、密度、功能、速度和灵活性方面将得到进一步的发展。随着工艺和结构的改进,FPGA的集成度将进一步提高,性能将进一步完善,成本将逐渐下降,在现代电子系统设计中将起到越来越重要的作用。 Altera Cyclone系列FPGA是A1tera公司2003年9月份推出的,基于1.5v,O.13μm工艺,Cyclone是一个性价比很高的FPGA系列。其中EPlC3T144是Cyclone系列中的一员,共有2910逻辑单元,59904RAM bits,1个PLLs,最多有104个用户IO,可以说这款FPGA的资源非常丰富,足够满足大型设计的需要。 本设计选用Altera公司的Cyclone系列芯片,芯片型号为EPlC3T144C8,因为该芯片是Altera公司推出的低价格、高容量的FPGA,其以较低的价格、优良的特性及丰富的片上资源在实际应用中被广泛的采用,这些都是其他同类产品无法相比的。 1.EPlC3T144C8芯片采用1.5V内核电压,0.33μmSRAM工艺,与其他同类产品相比具有以下特点: (1)逻辑资源丰富,逻辑单元(LE)数量为2910个。 (2)有104个可用IO引脚,IO输出可以根据需要调整驱动能力,并具有压摆率控制、三态缓冲、总线保持等功能:整个器件的I0引脚分为四个区,每个区可以独立采用不同的输入电压,并可提供不同电压等级的I0输出。 (3)多电压接口,支持LVTTL,LVCMOS,LVDS等I0标准。 (4)灵活的时钟管理,片内配有一个锁相环(PLL)电路,可以提供输入时钟的1~32倍频或分频、156~417ps相移和可变占空比的时钟输出,输出时钟的特性可直接在开发软件Quartos II 6.0里设定。经锁相环输出的时钟信号既可以作为内部的全局时钟,也可以输出到片外供其它电路使用。 (5)内有SignalTap嵌入式逻辑分析器,极大地方便了设计者对芯片内部逻辑进行检查,而不需要将内部信号输出到IO管脚上。 本设计对FPGA芯片的供电采用TI公司LDO电源芯片 TPS70448 来实现。它能同时输出 1.5V 的核电压和 3.3V 的 IO 电压以及辅助电压,并且具有 POR(Power On Reset)功能,非常适合对与多种电压输入的芯片如 FPGA、DSP 等供电。TPS70448 供电部分的电路图如图 5.6 所示: 图 5.5 FPGA 供电芯片 TPS70448 电路图 5.1.3 FPGA 下载电路 Altera器件的编程连接硬件包括:ByteBlaster并口下载电缆、ByteBlasterMV并口下载电缆、MasterBlaster串口USB通信电缆、BitBlaster串口下载电缆。 本设计采用了ByteBlasterMV串口口下载电缆[1]。 ByteBlasterMV串口下载电缆采用两种下载模式:被动串行模式和JTAG仿真下载模式。 如表 5.1 所示。 表 5.1 FPGA 器件的下载模式 为了利用ByteBlasterMV并口下载电缆配置1.5VCyclone系列EPlC3T144,3.3V电源中应该连接上拉电阻,电缆的VCC脚连接到3.3V电源,而器件的VCCINT引脚连到相应的1.5V电源。对于PS配置,器件的VCCIO引脚必须连到2.5V或3.3V电源。对于JTAG在线配置和在线编程,电缆的VCC引脚必须连接3.3V电源。 ByteBlasterMV并口下载电缆与PC机相连的是25针插头,与PCB电路板相连的是10针插座。数据从PC机并口通过ByteBlasterMV并口电缆下载到电路板。 FPGA 芯片的主串模式配置电路图如图5.6所示 图 5.6 采用主串模式对 FPGA 进行配置电路图 5.2试验结果分析 5.2.1 BC 模式下的仿真结果 BC 是总线上启动消息传输任务的终端,所有的消息传输都是由 BC 发出命令字来开始的。下面给出几种典型的消息传输格式的仿真图。 图 5.7为 BC 发送给 RT 地址 7 的接收命令 3823H,接收数据字个数为 3,数据存储在双口 RAM 的 224、225、226地址空间。RT 在接收到命令字和数据字之后,返回状态字,BC 正确接收状态字后,消息传送完毕。 图 5.7 BC 向 RT 传输接收数据命令格式的仿真图 5.3 本章小结 本章首先介绍了基于 FPGA 的 1553B 协议芯片测试系统的硬件构成,对其中的关键器件如模拟收发器、FPGA 芯片、DSP 芯片等进行了简单的说明。然后重点交代了BCRTMT 三种终端模式下的时序仿真过程,对 1553B 常见的几种消息传输格式进行了仿真验证并给出了仿真结果。章节最后通过两块实验板对接来传输消息的方式对整体设计进行了实际硬件测试,通过示波器观测到的总线上正确的波形来验证了设计的正确性,同时也说明本文的设计方案是合理的,可行的。 6 总结及后期展望 6.1 论文总结 在柴老师的耐心指导下,经过半个学期的努力,对基于FPGA的MIL-STD-1553B航空总线接口控制系统有了一些了解,并进行了较为浅入的学习和研究,在研究了总线接口协议的基础上,成功地完成了基于 FPGA的 1553B 总线接口系统的设计,在验证方面,达到了前后仿真一致,并完成了系统的综合、布局布线。最后自行设计了硬件测试电路板,完成了总线接口系统的测试与验证。 本文采用自主设计体系结构的技术路线,利用自上而下的设计方法进行设计,在此基础上完成了1553B总线 BC接口的 VHDL 设计、系统的综合仿真及 FPGA 实现。 通过测试与验证,该设计具有一定的通用性,它的逻辑只涉及到接口本身,与外部处理器的接口十分简单。并且由于选用的器件资源比较丰富,对以后进行功能的添加也十分的方便。在设计的最后针对器件进行再一次的优化,这样缩短了设计周期,提高了系统性能,并且大大提高了芯片的资源利用率。此方案初步达到用户要求,其结构紧凑,具有高性能、高灵活性等特点,具有很大的开发应用前景。 虽然毕业设计未能取得全部的成功,但是无论是对于我的制作和思考能力上都有获得了丰富的经验。我深刻的知道要想全部完成我的这个毕设项目需要很丰富的知识,思考和动手能力等等,但我不气馁毕竟毕业设计对于我们这样初生牛犊的学生来说本来就是一个难得的将理论与实际相结合的锻炼机会。通过对航空总线控制地设计,我学到了对以往知识的总结和应用,同时也锻炼了我综合运用所学专业基础知识解决实际问题的能力,提高了我查阅文献资料、设计手册、设计规范以及电脑制图等其他专业能力水平。而且通过对整体的掌控,对局部的取舍,以及对细节的斟酌处理,也使我的能力得到了锻炼,经验得到了丰富,并且意志品质力,抗压能力及耐力也都得到了不同程度的提升。 6.2 后期展望 随着越是对本毕业设计课题的深入的了解,越是发觉里面的内容和要研究的方案需要很强的理论基础和很强的动手能力。纵观我的大体设计系统图,对于BC功能的设计其中是很复杂的,为此对于我一名本科生无疑是一项巨作。所以我在投入我大量的时间和力所能及的能力上我只对BC功能,FPGA的1553B协议处理器中有将近13个模块简单地制作出了7个模块,其中还有一些小细节的步骤还没来得及完善和简化。所以由于时间,能力等等各种条件的约束下,我只能够做出了这么多。 对于设计的最终结果就是设计预留工作方式选择信号及控制信号,以可将总线控制器、远程终端接口、总线监视器结合起来以达到通用航空总线接口的功能。完成相应的硬件描述语言程序最后经综合和仿真验证后,在特定的FPGA中实现。每个子模块都可以实现仿真,且能实现BC功能的全部运转,实现数据的发送和接收最后连接到远程终端和监控器上实现整个过程的运作,希望下届的学弟们能继续完成我这未完成的巨作。 参考文献 [1]. 百度文库 Representation and Synthesis. Prentice Hall,2001. [4]. 吴勇,朱建民等.航电综合化系统总线接口板研究设计[J].火力与指挥控制.2001. [5]. 解传军,王海滨.基于FPGA的航空总线协议接口设计[J].电子设计工程,2009. [6]. Altera公司.QUARTUS II Getting started.2000. [7].Department of Defense.US.Military standard aircraft intemal time divisfoncommandresponse multiplex data bus.1978. [8]. DDC Corporation. Mil-Std-1553 Designer’s ouide.6th edition.2003. [9]. Altera Corporation. Altera Digital Library. Altera. 2002. [10]. 张豫榕,1553B总线原理及其应用.现代电子工程.2004 [11]. 侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版社,2003. [12]. 柴明刚.集成电路设计与运用实验. 南昌航空大学. 2008. [13]. 藩松.黄继业.EDA技术实用教程(第三版).科学出版社.2006. [14]. 徐丽清,姬劳,1553B总线接口技术研究及FPGA实现.可编程ASIC设计及应用.[西北工业大学硕士学位论文] . 西安,西北工业大学,2006. [15]. 王志宏. 基于 MIL-STD-1553B 总线的航空电子综合系统总线通讯研究:[硕士学位论文],南京:南京理工大学.2006.. [16]. 杨春英等,1553B数据总线的设计,《舰船科学技术》,1998. [17]. 石红梅,姬劳,谢栓勤.用 FPGA 实现 1553B 总线接口中的曼码编解码器.新器件新技术,2004. [18]. 徐丽清.1553B 总线接口技术研究及 FPGA 实现:[硕士学位论文],西安:西北工业大学.2005. [19]. 中国知网 R.Murdork.James R.Koenig.Open Systems Avionics Network to Replace MIL-STD-1553[J].IEEE AESS System Magazine,august 2001, Page(s):34 -36. [21]. 王磊.基于 MicroBlaze 软核的 FPGA 片上系统设计.新器件新技术,2004. 致谢 经过半个学期的找资料和忙忙碌碌的动手和思考,大学的最后毕业设计就已经慢慢地接近尾声了。作为一个本科生,同时也肯定了我对此研究工作经验的缺乏,同时在设计的过程中遇到了各种各样的难题和纠结的事,甚至是很多考虑不周全的步骤,在导师的协助下才隐隐约约找到些门路。     在这里我想特别的感谢我的指导老师柴明钢老师。虽然柴老师平日里对待我的要求很严格,但是他在指导我们的时候又显示出了耐心地指导和教育,在工作繁多的时候还会抽空出来对设计草案的确定和修改,以及中期检查和后期详细设计。因为是的毕设内容是比较难和较为复杂烦琐的,所以每次的询问的过程中柴老师都仍细心地纠正设计中的错误。除了敬佩柴老师深厚的专业水平外,他那治学严谨、科学研究的精神和那做事认真、负责的态度都是我永远学习的榜样,并将积极影响我今后的学习和工作。 然后在即将结束的大学四年的学习生活,我想特别的感谢那些所有教育过我的老师们,是您们为我打下了电子专业方面的基础,增长了我的见识,让我以后在毕业从事的航空飞机维修中又奠定了一定的兴趣和基础。 其次我要感谢和我一起作毕业设计的几位玉树临风的同学,他们分别是刘一铭、朱。在过去的半年里,无论是生活上还是毕业设计上,他们都给予了我很大的帮助。 最后我要感谢测试与光电工程和我的母校—南昌航空大学,四年来对我的大力栽培,我会用努力来回报母校的培养! 学位论文原创性声明 本人郑重声明:所呈交的学位论文,是本人在导师的指导下进行的研究工作所取得的成果。尽我所知,除文中已经特别注明引用的内容和致谢的地方外,本论文不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式注明并表示感谢。本人完全意识到本声明的法律结果由本人承担。 学位论文作者(本人签名): 年 月 日 学位论文出版授权书 本人及导师完全同意《中国博士学位论文全文数据库出版章程》、《中国优秀硕士学位论文全文数据库出版章程》(以下简称“章程”),愿意将本人的学位论文提交“中国学术期刊(光盘版)电子杂志社”在《中国博士学位论文全文数据库》、《中国优秀硕士学位论文全文数据库》中全文发表和以电子、网络形式公开出版,并同意编入CNKI《中国知识资源总库》,在《中国博硕士学位论文评价数据库》中使用和在互联网上传播,同意按“章程”规定享受相关权益。 论文密级: □公开 □保密(___年__月至__年__月)(保密的学位论文在解密后应遵守此协议) 作者签名:_______ 导师签名:_______ _______年_____月_____日 _______年_____月_____日 独 创 声 明 本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。 本声明的法律后果由本人承担。   作者签名: 二〇一〇年九月二十日   毕业设计(论文)使用授权声明 本人完全了解滨州学院关于收集、保存、使用毕业设计(论文)的规定。 本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。 (保密论文在解密后遵守此规定)   作者签名: 二〇一〇年九月二十日 致 谢 时间飞逝,大学的学习生活很快就要过去,在这四年的学习生活中,收获了很多,而这些成绩的取得是和一直关心帮助我的人分不开的。 首先非常感谢学校开设这个课题,为本人日后从事计算机方面的工作提供了经验,奠定了基础。本次毕业设计大概持续了半年,现在终于到结尾了。本次毕业设计是对我大学四年学习下来最好的检验。经过这次毕业设计,我的能力有了很大的提高,比如操作能力、分析问题的能力、合作精神、严谨的工作作风等方方面面都有很大的进步。这期间凝聚了很多人的心血,在此我表示由衷的感谢。没有他们的帮助,我将无法顺利完成这次设计。 首先,我要特别感谢我的知道郭谦功老师对我的悉心指导,在我的论文书写及设计过程中给了我大量的帮助和指导,为我理清了设计思路和操作方法,并对我所做的课题提出了有效的改进方案。郭谦功老师渊博的知识、严谨的作风和诲人不倦的态度给我留下了深刻的印象。从他身上,我学到了许多能受益终生的东西。再次对周巍老师表示衷心的感谢。 其次,我要感谢大学四年中所有的任课老师和辅导员在学习期间对我的严格要求,感谢他们对我学习上和生活上的帮助,使我了解了许多专业知识和为人的道理,能够在今后的生活道路上有继续奋斗的力量。 另外,我还要感谢大学四年和我一起走过的同学朋友对我的关心与支持,与他们一起学习、生活,让我在大学期间生活的很充实,给我留下了很多难忘的回忆。 最后,我要感谢我的父母对我的关系和理解,如果没有他们在我的学习生涯中的无私奉献和默默支持,我将无法顺利完成今天的学业。 四年的大学生活就快走入尾声,我们的校园生活就要划上句号,心中是无尽的难舍与眷恋。从这里走出,对我的人生来说,将是踏上一个新的征程,要把所学的知识应用到实际工作中去。 回首四年,取得了些许成绩,生活中有快乐也有艰辛。感谢老师四年来对我孜孜不倦的教诲,对我成长的关心和爱护。 学友情深,情同兄妹。四年的风风雨雨,我们一同走过,充满着关爱,给我留下了值得珍藏的最美好的记忆。 在我的十几年求学历程里,离不开父母的鼓励和支持,是他们辛勤的劳作,无私的付出,为我创造良好的学习条件,我才能顺利完成完成学业,感激他们一直以来对我的抚养与培育。 最后,我要特别感谢我的导师赵达睿老师、和研究生助教熊伟丽老师。是他们在我毕业的最后关头给了我们巨大的帮助与鼓励,给了我很多解决问题的思路,在此表示衷心的感激。老师们认真负责的工作态度,严谨的治学精神和深厚的理论水平都使我收益匪浅。他无论在理论上还是在实践中,都给与我很大的帮助,使我得到不少的提高这对于我以后的工作和学习都有一种巨大的帮助,感谢他耐心的辅导。在论文的撰写过程中老师们给予我很大的帮助,帮助解决了不少的难点,使得论文能够及时完成,这里一并表示真诚的感谢。 毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得 及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作 者 签 名:       日  期:        ​​​​​​​​​​​​ 指导教师签名:        日  期:        使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:        日  期:        ​​​​​​​​​​​​ 学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名: 日期: 年 月 日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权      大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名: 日期: 年 月 日 导师签名: 日期: 年 月 日 指导教师评阅书 指导教师评价: 一、撰写(设计)过程 1、学生在论文(设计)过程中的治学态度、工作精神 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、学生掌握专业知识、技能的扎实程度 □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、学生综合运用所学知识和专业技能分析和解决问题的能力 □ 优 □ 良 □ 中 □ 及格 □ 不及格 4、研究方法的科学性;技术线路的可行性;设计方案的合理性 □ 优 □ 良 □ 中 □ 及格 □ 不及格 5、完成毕业论文(设计)期间的出勤情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 三、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计 说明书 房屋状态说明书下载罗氏说明书下载焊机说明书下载罗氏说明书下载GGD说明书下载 )所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 建议成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 指导教师: (签名) 单位: (盖章) 年 月 日 评阅教师评阅书 评阅教师评价: 一、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 建议成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 评阅教师: (签名) 单位: (盖章) 年 月 日 教研室(或答辩小组)及教学系意见 教研室(或答辩小组)评价: 一、答辩过程 1、毕业论文(设计)的基本要点和见解的叙述情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、对答辩问题的反应、理解、表达情况 □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、学生答辩过程中的精神状态 □ 优 □ 良 □ 中 □ 及格 □ 不及格 二、论文(设计)质量 1、论文(设计)的整体结构是否符合撰写规范? □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、是否完成指定的论文(设计)任务(包括装订及附件)? □ 优 □ 良 □ 中 □ 及格 □ 不及格 三、论文(设计)水平 1、论文(设计)的理论意义或对解决实际问题的指导意义 □ 优 □ 良 □ 中 □ 及格 □ 不及格 2、论文的观念是否有新意?设计是否有创意? □ 优 □ 良 □ 中 □ 及格 □ 不及格 3、论文(设计说明书)所体现的整体水平 □ 优 □ 良 □ 中 □ 及格 □ 不及格 评定成绩:□ 优 □ 良 □ 中 □ 及格 □ 不及格 (在所选等级前的□内画“√”) 教研室主任(或答辩小组组长): (签名) 年 月 日 教学系意见: 系主任: (签名) 年 月 日 学位论文原创性声明 本人郑重声明:所呈交的学位论文,是本人在导师的指导下进行的研究工作所取得的成果。尽我所知,除文中已经特别注明引用的内容和致谢的地方外,本论文不包含任何其他个人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式注明并表示感谢。本人完全意识到本声明的法律结果由本人承担。 学位论文作者(本人签名): 年 月 日 学位论文出版授权书 本人及导师完全同意《中国博士学位论文全文数据库出版章程》、《中国优秀硕士学位论文全文数据库出版章程》(以下简称“章程”),愿意将本人的学位论文提交“中国学术期刊(光盘版)电子杂志社”在《中国博士学位论文全文数据库》、《中国优秀硕士学位论文全文数据库》中全文发表和以电子、网络形式公开出版,并同意编入CNKI《中国知识资源总库》,在《中国博硕士学位论文评价数据库》中使用和在互联网上传播,同意按“章程”规定享受相关权益。 论文密级: □公开 □保密(___年__月至__年__月)(保密的学位论文在解密后应遵守此协议) 作者签名:_______ 导师签名:_______ _______年_____月_____日 _______年_____月_____日 独 创 声 明 本人郑重声明:所呈交的毕业设计(论文),是本人在指导老师的指导下,独立进行研究工作所取得的成果,成果不存在知识产权争议。尽我所知,除文中已经注明引用的内容外,本设计(论文)不含任何其他个人或集体已经发表或撰写过的作品成果。对本文的研究做出重要贡献的个人和集体均已在文中以明确方式标明。 本声明的法律后果由本人承担。   作者签名: 二〇一〇年九月二十日   毕业设计(论文)使用授权声明 本人完全了解滨州学院关于收集、保存、使用毕业设计(论文)的规定。 本人愿意按照学校要求提交学位论文的印刷本和电子版,同意学校保存学位论文的印刷本和电子版,或采用影印、数字化或其它复制手段保存设计(论文);同意学校在不以营利为目的的前提下,建立目录检索与阅览服务系统,公布设计(论文)的部分或全部内容,允许他人依法合理使用。 (保密论文在解密后遵守此规定)   作者签名: 二〇一〇年九月二十日 致 谢 时间飞逝,大学的学习生活很快就要过去,在这四年的学习生活中,收获了很多,而这些成绩的取得是和一直关心帮助我的人分不开的。 首先非常感谢学校开设这个课题,为本人日后从事计算机方面的工作提供了经验,奠定了基础。本次毕业设计大概持续了半年,现在终于到结尾了。本次毕业设计是对我大学四年学习下来最好的检验。经过这次毕业设计,我的能力有了很大的提高,比如操作能力、分析问题的能力、合作精神、严谨的工作作风等方方面面都有很大的进步。这期间凝聚了很多人的心血,在此我表示由衷的感谢。没有他们的帮助,我将无法顺利完成这次设计。 首先,我要特别感谢我的知道郭谦功老师对我的悉心指导,在我的论文书写及设计过程中给了我大量的帮助和指导,为我理清了设计思路和操作方法,并对我所做的课题提出了有效的改进方案。郭谦功老师渊博的知识、严谨的作风和诲人不倦的态度给我留下了深刻的印象。从他身上,我学到了许多能受益终生的东西。再次对周巍老师表示衷心的感谢。 其次,我要感谢大学四年中所有的任课老师和辅导员在学习期间对我的严格要求,感谢他们对我学习上和生活上的帮助,使我了解了许多专业知识和为人的道理,能够在今后的生活道路上有继续奋斗的力量。 另外,我还要感谢大学四年和我一起走过的同学朋友对我的关心与支持,与他们一起学习、生活,让我在大学期间生活的很充实,给我留下了很多难忘的回忆。 最后,我要感谢我的父母对我的关系和理解,如果没有他们在我的学习生涯中的无私奉献和默默支持,我将无法顺利完成今天的学业。 四年的大学生活就快走入尾声,我们的校园生活就要划上句号,心中是无尽的难舍与眷恋。从这里走出,对我的人生来说,将是踏上一个新的征程,要把所学的知识应用到实际工作中去。 回首四年,取得了些许成绩,生活中有快乐也有艰辛。感谢老师四年来对我孜孜不倦的教诲,对我成长的关心和爱护。 学友情深,情同兄妹。四年的风风雨雨,我们一同走过,充满着关爱,给我留下了值得珍藏的最美好的记忆。 在我的十几年求学历程里,离不开父母的鼓励和支持,是他们辛勤的劳作,无私的付出,为我创造良好的学习条件,我才能顺利完成完成学业,感激他们一直以来对我的抚养与培育。 最后,我要特别感谢我的导师***老师、和研究生助教***老师。是他们在我毕业的最后关头给了我们巨大的帮助与鼓励,给了我很多解决问题的思路,在此表示衷心的感激。老师们认真负责的工作态度,严谨的治学精神和深厚的理论水平都使我收益匪浅。他无论在理论上还是在实践中,都给与我很大的帮助,使我得到不少的提高这对于我以后的工作和学习都有一种巨大的帮助,感谢他耐心的辅导。在论文的撰写过程中老师们给予我很大的帮助,帮助解决了不少的难点,使得论文能够及时完成,这里一并表示真诚的感谢。 致 谢 这次论文的完成,不止是我自己的努力,同时也有老师的指导,同学的帮助,以及那些无私奉献的前辈,正所谓你知道的越多的时候你才发现你知道的越少,通过这次论文,我想我成长了很多,不只是磨练了我的知识厚度,也使我更加确定了我今后的目标:为今后的计算机事业奋斗。在此我要感谢我的指导老师——***老师,感谢您的指导,才让我有了今天这篇论文,您不仅是我的论文导师,也是我人生的导师,谢谢您!我还要感谢我的同学,四年的相处,虽然我未必记得住每分每秒,但是我记得每一个有你们的精彩瞬间,我相信通过大学的历练,我们都已经长大,变成一个有担当,有能力的新时代青年,感谢你们的陪伴,感谢有你们,这篇论文也有你们的功劳,我想毕业不是我们的相处的结束,它是我们更好相处的开头,祝福你们!我也要感谢父母,这是他们给我的,所有的一切;感谢母校,尽管您不以我为荣,但我一直会以我是一名农大人为荣。 通过这次毕业设计,我学习了很多新知识,也对很多以前的东西有了更深的记忆与理解。漫漫求学路,过程很快乐。我要感谢信息与管理科学学院的老师,我从他们那里学到了许多珍贵的知识和做人处事的道理,以及科学严谨的学术态度,令我受益良多。同时还要感谢学院给了我一个可以认真学习,天天向上的学习环境和机会。 即将结束*大学习生活,我感谢****大学提供了一次在农大接受教育的机会,感谢院校老师的无私教导。感谢各位老师审阅我的论文。 C P U 双端口存储器 宿主机接口 字计数单元 错误中断产生单元 RT响应超时检测 DATAIN[8…0] CLOCK32M TIMERSTART TIMERCLR SUPERTIMEA TIMERCTL 写数据开始 读接收FIFO数据写入RAM 读取RAM指定地址数据送入发送FIFO 获取子地址 读数据开始 开始 读地址计数器 数据空标志 写地址计数器 数据满标志 双口RAM 检错控制 发送超时监测 主处理器 并行通信接口 串行通信接口 双口RAM 命令字/状态字译码及发送命令字控制 发生器控制 状态字设置/命令字寄存器 检错控制 数字发送器 发送超时监测 数字接收器 时钟产生模块 模拟发送器 模拟接收器 读写RAM控制 通道A 1553协议处理器 接口寄存器及控制逻辑 双通道收发器 state register 数字发送器 发送命令字 发生器控制 状态字译码/接收数据字 双口RAM output logic 数字接收器 串行通信接口 并行通信接口 存储器接口 地址控制 state logic 时钟产生模块 隔离变压器B 隔离变压器A 写入数据完毕 结束 读收FIFO读空 读取数据完毕 获取子地址 发送FIFO内数据个数等于子数据个数 设计层次 行为描述 结构描述 系 统 级 系统算法 系统逻辑图 寄 存 器 数据流程图、真值表 寄存器ALUROL等 输 出 级 状态机 分模块描述 门 布尔方程 逻辑门触发器锁存器 级 真 值 表 构成的逻辑图 版 图 级 几何图形 图形连接关系 通道B 存储器接口 地址控制 模拟收发器 电平转换芯片 FPGA 电源及时钟电路 配置EEPROM DSP SDRAM FLASH 1
本文档为【MIL-STD-1553B数据总线协议学士学位论文】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
个人认证用户
不系舟红枫
从教近30年,经验丰富,教学水平较高
格式:doc
大小:945KB
软件:Word
页数:51
分类:工学
上传时间:2019-01-24
浏览量:16