首页 eda流水灯实验报告

eda流水灯实验报告

举报
开通vip

eda流水灯实验报告eda流水灯实验报告 1. 实验目的 1.通过此实验让用户进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL的编程方法,熟悉以Herilong HDL文件为顶层模块的设计。 2.学习和体会分支条件语句casc的使用方法及FPGA I/O口的输出控制。 2. 实验内容 SmartSOPC实验箱上有8个按键KEY1~KEY8和8个发光二极管LED1~LED8。在SmartSOPC实验箱上KEY1~KEY8通过跳线JP6的LED1~LED8分别于芯片的121~124、143、141、158、和...

eda流水灯实验报告
eda流水灯实验 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 1. 实验目的 1.通过此实验让用户进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL的编程方法,熟悉以Herilong HDL文件为顶层模块的设计。 2.学习和体会分支条件语句casc的使用方法及FPGA I/O口的输出控制。 2. 实验 内容 财务内部控制制度的内容财务内部控制制度的内容人员招聘与配置的内容项目成本控制的内容消防安全演练内容 SmartSOPC实验箱上有8个按键KEY1~KEY8和8个发光二极管LED1~LED8。在SmartSOPC实验箱上KEY1~KEY8通过跳线JP6的LED1~LED8分别于芯片的121~124、143、141、158、和156引脚相连。 本实验的内容是要求在SmartSOPC实验箱上完成对8个键盘KEY1~KEY8进行监控,一旦有键输入判断其键值,并点亮相应的发光二极管,如若KEY3按下,则点亮LED1~LED3发光管并且在放开时也能保持亮的状态。 3. 实验原理 FPGA的所有I/O控制块允许每个I/O引脚单独置为输入口,不过这种配置是系统自动完成的,一旦该I/O口被设置为输入口使用时,该 I/O控制模块将直接使三态缓冲区的控制端接地,使得该 I/O引脚对外呈高阻态,这样改 I/O引脚即可用作专用输入引脚。只要正确分配并锁定引脚后,一旦在KEY1~KEY8中有键输入,在检测到键盘输入的情况下,继续判断 其键盘值并作出相应的处理。 最后拿出跳线短接帽跳接到JP6的LED1~LED7、KEY1~KEY8使LED1~LED8和KEY1~KEY8和芯片对应的引脚相连。拿出Altera ByteBlaster?下载电缆,并将此电缆的两端分别接到PC机的打印机并口和QuickSOPC核芯板上的JTAG下载口上,打开电源,执行下载命令,把程序下载到FPGA器件中,按下KEY1~KEY8的任意键,观察LED1~LED8的状态。 4. 实验步骤 1,启动Quartus?建立一个空白工程,然后命名为keyboard 。 2,源程序文件命名为keyboard ,并选择器件为Altera公司Cyclone系列的EPIC12Q240C8芯片,如图1—1 图1—1 3,新建Veriog HDL源程序文件,输入程序代码并保存。再进行综合编译,若发现编译过程中有错误1—2(1),则找出并更正错误,直到编译成功为止。如图1—2,2,就 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf 示编译成功了,warings可以不考虑,。 1 图1—2(1) 图1—2,2, 4,对引脚进行锁定。通过选择[Assignments]下的[pins]。分配好管脚如图1—3所示。 图1—3 2 5,将未使用的管脚设置为三输入。以防止损坏芯片如图1—4所示。 图1—4 6. 最后拿出跳线短接帽跳接到JP6的LED1~LED7、KEY1~KEY8使LED1~LED8和KEY1~KEY8和芯片对应的引脚相连。拿出Altera ByteBlaster?下载电缆,并将此电缆的两端分别接到PC机的打印机并口和QuickSOPC核芯板上的JTAG下载口上,打开电源,执行下载命令,把程序下载到FPGA器件中,如图1-5,,按下KEY1~KEY8的任意键,观察LED1~LED8的状态。 3 图1—5在点[Start]即可下载烧录了。 5. 实验程序 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity keyboard is port(key_8bit:IN std_logic_vector(7 downto 0); led_8bit:OUT std_logic_vector(7 downto 0)); end keyboard; architecture keyboard of keyboard is signal tmp: std_logic_vector(7 downto 0); begin 8bit) process(key_ begin case key_8bit is when "11111110"=>tmp<="11111110"; when "11111101"=>tmp<="11111101"; when "11111011"=>tmp<="11111011"; when "11110111"=>tmp<="11110111"; when "11101111"=>tmp<="11101111"; when "11011111"=>tmp<="11011111"; when "10111111"=>tmp<="10111111"; when "01111111"=>tmp<="01111111"; when others=>tmp<="ZZZZZZZZ"; end case; end process; 4 led_8bit<=tmp; end keyboard; 6. 实验结果 展示依次按下单个按键的效果 7.总结与思考 (详见手写附页) 5
本文档为【eda流水灯实验报告】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_153723
暂无简介~
格式:doc
大小:119KB
软件:Word
页数:5
分类:企业经营
上传时间:2017-10-19
浏览量:304