首页 EDA课程设计题目

EDA课程设计题目

举报
开通vip

EDA课程设计题目EDA课程设计题目 课程设计题目 设计一 数字式竞赛抢答器 1、设计一个可容纳 6 组(或 4 组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 、设置一个主持人“复位”按钮。 3 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出 2~3 秒的音响。 5、设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次加 10 分,答错一次减 10 分。 教学提示: 1、 此设计问题...

EDA课程设计题目
EDA课程 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 目 课程设计题目 设计一 数字式竞赛抢答器 1、设计一个可容纳 6 组(或 4 组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。 2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 、设置一个主持人“复位”按钮。 3 4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出 2~3 秒的音响。 5、设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次加 10 分,答错一次减 10 分。 教学提示: 1、 此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到 第一信号后将输入封锁,使其它组的抢答信号无效。 2、 形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬 声器发出音响。 3、 计分电路采用十进制加,减计数器、数码管显示,由于每次都是加,减 10 分,所以个位始终为零,只要十位、百位进行加,减运算即可。 设计二 数字钟 1、 设计一个能显示1/10秒、秒、分、时的12小时数字钟。 、 熟练掌握各种计数器的使用。 2 3、 能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。 4、 能用低位的进位输出构成高位的计数脉冲。 教学提示: 1、时钟源使用频率为0.1Hz的连续脉冲。 2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。 3、时钟显示使用数码管显示。 4、“时显示”部分应注意12点后显示1点。 5、注意各部分的关系,由低位到高位逐级设计、调试。 设计三 数字频率计 1、 设计一个能测量方波信号的频率的频率计。 2、 测量的频率范围是0?999999Hz。 3、 结果用十进制数显示。 教学提示: 1、 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器 所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被 测信号的频率。 2、 被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。 3、 再取晶体振荡器的另一 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信 号的选择可以控制,即量程可以改变。 4、 时基信号经控制电路产生闸门信号至主控门, 只有在闸门信号采样期间内(时基信号的一个周期), 输入 信号才通过主控门。 5、 f=N/T,改变时基信号的周期T,即可得到不同的测频范围。 6、 当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数 器和所有触发器复位,为新的一次采样做好准备。 7、 改变量程时,小数点能自动移位。 设计四 拔河游戏机 1、 设计一个能进行拔河游戏的电路。 、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 2 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按 一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使 亮点恢复到中心。 5、 用数码管显示获胜者的盘数。 教学提示: 1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。 2、 用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码 器输出,使中间一只二极管发亮。 3、 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。 4、 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止 计数。 5、 将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行 一次计数,这样得到双方取胜次数的显示。 6、 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。 设计五 乒乓球比赛游戏机 1、 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。 2、 用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED 指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。 3、 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关, 即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。 4、 一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。 5、 设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。 6、 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球 才有效。 教学提示: 、 用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,1 由 击球者通过按钮输入开关信号,实现移位方向的控制。 2、 也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方 的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。 3、 任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反 方向移动,即失去1分。 4、 控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。 设计六 交通信号灯控制器 1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、 黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2、 红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干 道亮绿灯时,主干道亮红灯。 4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、 25秒计时、显示电路。 5、 在每次由绿灯亮到红灯亮的转换过程中, 要亮5秒黄灯作为过渡, 使行驶中的车辆有时间停到禁行线外, 设立5秒计时、显示电路。 教学提示: 1、 主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。 2、 选择 1HZ 时钟脉冲作为系统时钟。 3、 45 秒、25 秒、5 秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所 需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动 另一计时电路。 4、 主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B, ;45 秒、25 秒、5 秒定 时信号(C,D,E) ,其输出状态控制相应的三色灯。主控电路可以由两个 JK 触发器和逻辑门构成, 其输出经译码后,控制主干道三色灯 R、G、Y 和支干道三色灯 r、g、y。 设计七 电子密码锁 1、 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿 灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁; 2、 在锁的控制电路中储存一个可以修改的 4 位代码,当开锁按钮开关(可设置成 6 位至 8 位,其中实际 有效为 4 位,其余为虚设)的输入代码等于储存代码时,开锁; 3、 从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并 由扬声器发出持续 20 秒的报警信号。 教学提示: 1、 该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比 较的方式,若两者相等,则形成开锁信号。 2、 在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信 号点亮 LED 指示灯; 3、 用按钮开关的第一个动作信号触发一个 5 秒定时器,若 5 秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动 LED 不断闪烁。 设计八 彩灯控制器 1、 设计一个彩灯控制器,使彩灯(LED 管)能连续发出四种以上不同的显示形式; 2、随着彩灯显示图案的变化,发出不同的音响声。 教学提示: 教学提示 1、彩灯显示的不同形式可由不同进制计数器驱动 LED 显示完成; 2、 音响由选择不同频率 CP 脉冲驱动扬声器形成。 设计九 脉冲按键电话显示器 1、 设计一个具有 8 位显示的电话按键显示器; 2、 能准确地反映按键数字; 3、 显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位; 4、 *设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码; 5、 *挂机 2 秒后或按熄灭按键,熄灭显示器显示。 教学提示: 1、 利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字; 2、 设置一个计数器记录按键次数,从而实现数字显示的移位。 设计十 简易电子琴 1、 设计一个简易电子琴; 2、 利用实验箱的脉冲源产生 1,2,3,……共 7 个或 14 个音阶信号; 3、 用指示灯显示节拍; 4、 *能产生颤音效果。 教学提示: 1、 各音阶信号由脉冲源经分频得到。 设计十一 出租车自动计费器 1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示 总金额,最大值为 99.99 元; 2、 行车里程单价 1 元/公里,等候时间单价 0.5 元/10 分钟,起价 3 元(3 公里起价)均能通过人工输入。 3、 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每 100 个脉冲表示 1 公里,然后用 BCD 码比例乘法 器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是 1.0 元/公里,则脉冲当量为 0.01 元/脉冲。 4、 用 LED 显示行驶公里数,两个数码管显示收费金额。 教学提示: 1、 等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如 100 个脉冲表示 10 分钟,而 10 分钟收费 0.5 元,则脉冲当量为 0.05 元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0.01 元/脉冲) ,则 10 分钟内的脉冲数应为 500 个。 2、 用 LED 显示等候时间,两个数码管表示等候时间收费金额。 3、 用加法器将几项收费相加,P=P1+P2+P3, 4、 P1 为起价,P2 为行车里程计费,P3 为等候时间计费,用两个数码管表示结果。 设计十二 洗衣机控制器 1、 设计一个电子定时器,控制洗衣机作如下运转:定时启动?正转 20 秒?暂停 10 秒?反转 20 秒?暂停 10 秒?定时未到回到“正转 20 秒?暂停 10 秒?……” ,定时到则停止; 2、 若定时到,则停机发出音响信号; 3、 用两个数码管显示洗涤的预置时间 (分钟数) 按倒计时方式对洗涤过程作计时显示, , 直到时间到停机; 洗涤过程由“开始”信号开始; 4、三只 LED 灯表示“正转”、“反转”、“暂停”三个状态。 教学提示: 1、 设计 20 秒、10 秒定时电路。 2、 电路输出为“正转”、“反转”、“暂停”三个状态。 3、 按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。 13. 可控脉冲发生器 内容及要求: 实现周期、占空比均可调的脉冲发生器。 (1)采用1khz的工作时钟; (2)脉冲周期0.5s~6s,占空比10%~90%; (3)可初始化:周期2.5s,占空比50%; 14. 8bit序列检测器 内容及要求: 完成从2bit输入码流中检测特定8bit数据的电路,具体要求如下: (1)输入2bit码流,msb在前,4个周期的数据组成一个结构化字节; (2)检测序列0x7e; (3)成功检测到特定序列后,点亮一个LED; (4)操作中采用开关作为数据输入,按键作为有效数据指示信号; (5)工作时钟选择1k即可; (6)完成全部 流程 快递问题件怎么处理流程河南自建厂房流程下载关于规范招聘需求审批流程制作流程表下载邮件下载流程设计 :设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验 证等。 15人表决电路设计 内容及要求 完成7人表决电路设计,LED灯表示通过、否决。 (1)开关表示赞成与否,1~8编号(1赞成); (2)LED显示表决的结果; (3)数码管分别显示赞成、否决的人数; (4)工作时钟100Hz即可; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿 真、下载验证等。 16排队电路设计 内容及要求 单窗口排队机电路,给每个新来者编号,并计算队伍长度。 (1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿 真、下载验证等。 17算术计算器电路设计 内容及要求 实现一位十进制数的加减乘除元算,结果显示在数码管上。 1)键盘作为运算数据输入; ( (2)不同位置的按键代表运算符; (3)2个数码管显示运算结果; (4)支持连续运算; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验 证等。 18电梯控制器电路设计 内容及要求 设计智能电梯运行控制电路,板级验证用按键/开关与数码管/LED表示输入与输出。 (1)楼层4层; (2)梯内显示当前楼层、梯外各层显示当前电梯所在楼层; (3)1层只有向上按钮,4层只有向下按钮,其余各层均有上下按钮; (4)电梯具有锁定功能(梯内); (5)超重/超员报警(电路有空载、超重、乘客入梯指示输入); (6)采用Altera的cyclone器件,目标板soc实验室开发板; (7)设计相应的调度算法,使运营成本最低; (8)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、后仿真、 下载验证等。 19 LCD模块接口电路设计 内容及要求 实现NiosII驱动240X128LCD显示。 (1)T6963C控制器,显示模块与CPU的接口采用标准的8位微处理器接口,即D0,D7、nRD、nWR、nCE 以及C/D信号,当C/D为‘1’时,选中指令通道,数据总线上的数据均为指令;当C/D为‘0’时, 选中数据通道,数据总线上的数据为写入LCD或读出的有效数据。8bit输入,串行输出,伴有串行 信号msb指示; (2)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿 真、下载验证等。 20 音频信号存储与播放 内容及要求 (1)4.096s音频信号,8kA/D转换为8bit数据,共32768字节,存储播放; (2)音频数据自行解决,可采用语音传感器采集; (3)扬声器播放; (4)可以部分播放,时长可控; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿 真、下载验证等。 21 脉宽调制电路设计 内容及要求 脉宽调制电路。 (1)脉宽可调; (2)周期可调; (3)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验 证等。 22. 计时器电路设计 内容及要求 设计时、分、秒计时器电路,数码管显示输出。 (1)输入10K精准时钟; (2)具有时、分、秒计时功能,24小时制; (3)时分秒均可手动调整校正; (4)计时信息有6个数码管显示输出,格式hhmmss;或者8个数码管显示输出,格式hh:mm:ss; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验 证等。 23 FIR滤波器电路设计 内容及要求 完成有限冲激响应低通数字滤波器设计。 1)滤波频率20kHz; ( (2)增益不作要求,抽头系数自行计算; (3)同步电路设计; (4)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验 证等。 24自动售货机电路 内容及要求 设计自动售货机电路,要求如下: (1)待售物品价格1元、2元、3元、五元; (2)只接受1元、5元、10元币值; (3)机内存有1元零钱无限; (4)投入钱币之前认为售货机为空闲状态;投入钱币后需要按下物品标签吐出商品; (5)自动找零; (6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验 证等。 25. 排队电路设计 内容及要求 单窗口排队机电路,给每个新来者编号,并计算队伍长度。 (1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿 真、下载验证等。 EDA课程设计考勤表 系:电子 班别: 电信N20 考勤人: 班长 时间 序号 学号 姓名 合计 备注 1 8 9 10 11 12 15 16 17 18 19 朱佳俊 1 201045679728 1 王泳程 2 201245679103 1 王晔培 3 201245679106 1 尤文浩 4 201245679107 2 邱式涛 5 201245679108 2 张仲鹏 6 201245679115 2 李杭杰 7 201245679119 3 李昱辉 8 201245679122 3 钱旭峰 9 201245679123 3 胡涵泽 10 201245679126 4 陈仁坦 11 201245679127 4 黄晨阳 12 201245679132 4 汤烨俊 13 201245679215 5 马黎峰 14 201245679308 5 余雪华 15 201245679314 5 韩挺 16 201245679324 6 陈云 17 201245679326 6 俞彬炳 18 201245679334 6 周昌诚 19 201245679401 7 葛雨轩 20 201245679404 7 方跃东 21 201245679410 7 陈晓 22 201245679412 8 赵鼎阳 23 201245679418 8 王月娟 24 201245679423 8 张孟迪 25 201245679424 9 沈立峰 26 201245679425 9 王煜彬 27 201245679428 9 蔡承睿 28 201245679429 10 洪家亮 29 201245679432 10 喻杭丽 30 201245679433 10 李球超 31 201245679434 11 占鸣城 32 201245679503 11 毛达许 33 201245679506 11 泮佳康 34 201245679509 12 周焱磊 35 201245679512 12 张佳豪 36 201245679514 12 俞淼 37 201245679515 13 王杰 38 201245679516 13 何建华 39 201245679521 13 丁立祺 40 201245679531 14 许焕 41 201245679532 14 叶科杰 42 201245679534 14 罗丰 43 201245679605 15 邵忠 44 201245679610 15 华浩然 45 201245679614 15 马成凯 46 201245679620 16 张国伟 47 201245679622 16 胡俊杰 48 201245679623 16 李晓芬 49 201245679701 17 张健 50 201245679702 17 朱子甲 51 201245679703 17 刘建明 52 201245679705 18 金珂望 53 201245679706 18 盛枭然 54 201245679708 18 周柳青 55 201245679712 19 方羽萍 56 201245679720 19 李智博 57 201245679728 19 陈涛 58 201245679732 20 严俊杰 59 201245679805 20 沈晓杰 60 201245679822 20 马斌贤 61 201245679823 21 赵益帆 62 201245679827 21 任术芳 63 201245679832 21 全勤“? ” 迟到“×” 请假“?” 早退“*” 1引言 EDA是英文"Electronics Design Automation(电子设计自动化)"的缩写。EDA技术是近几年迅速发展起来的计算机软件、硬件和微电子交叉的现代电子设计学科,是现代电子 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 领域的一门新技术。它是以可编程逻辑器件(PLD)为物质基础,以计算机为工作平台,以EDA工具软件为开发环境,以硬件描述语言(HDL)作为电子系统功能描述的主要方式,以电子系统设计为应用方向的电子产品自动化设计过程。 VHDL是常用的HDL,它的英文全名是VHSIC"Very High Speed Integrated Circuit Handware Description Language(高速集成电路硬件描述语言)",VHDL语言具有很强的电路描述和建模能力,能简化硬件设计任务,提高设计的效率和可靠性。 Quartus II是Alters提供的FPGA"Field Programmable Gate Array(现场可编程门阵列)"和CPLD "Complex programmable Logic Device(复杂可编程逻辑器件)"的开发集成环境,Alters是世界最大可编程逻辑器件供应商之一。Alters的Quartus II提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统((SOPC)设计的综合性环境和SOPC开发的基本设计工具,并为Alters DSP开发包进行系统模型设计提供了集成综合环境。 2设计原理 本文通过利用数控分频器设计硬件乐曲演奏电路(电子琴)的设计实例,使读者初步了解 VHDL硬件描述语言和Quartus II开发环境。本设计是在Alters的FPGA芯片CycloneEP1C6和杭州康芯电子有限公司生产的GW48系列EDA实验系统基础上完成的,硬件测试用实验系统的电路模式3,特点是有8个琴键式键控发生器,可用于设计八音琴等电路系统,也可以产生时间长度可控的单次脉冲。该电路有8个译码输出显示的数码管,以显示目标芯片的32位输出信号,且8个发光管也能显示目标器件的8位输出信号。时钟为SOMHz.输出接扬声器。 主系统TONETABA.VHD和SPEAKER.VHD 2 个模块组成: (1)音符的频率可以由SPEAKER获得,这是一个数控分频器。由其clk端输人一具有较高频率(这里是12MHZ)的信号,通过SPEAKERA分频后由SPKOUT输出。 (2)音符的持续时间须根据乐曲的速度及每个音符的节拍数决定,模块TONETABA的功能是为SPEAKERA提供决定所发音的分频预置值,而此数在SPEAKERA输人口停留的时间即为此音符的节拍值。 3 逻辑时序仿真 4结论 本文作者创新点是基于FPGA完成乐曲演奏电路,在Altera Quartus II环境下,用VHDL语言实现电子琴演奏音乐的设计实例,设计者根据VHDL的语法规则,对系统的逻辑行为进行描述,然后通过综合工具进行电路结构的综合、编译、优化,用仿真,可在短时间内设计出高效、稳定、符合设计要求的电路。本设计还可扩展为多个音阶的电子琴,并利用FPGA内部的ROM装上多首歌曲,可用手动或自动选择歌曲。与利用微处理器(CPU和MCU)来实现乐曲演奏相比,可以很简单的设计出多种演奏电路具有高性能,高可靠性等优点,在性能价格比方面优于同类产品,有着广泛的应用前景和使用价值。
本文档为【EDA课程设计题目】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_633423
暂无简介~
格式:doc
大小:266KB
软件:Word
页数:19
分类:工学
上传时间:2017-10-17
浏览量:148