首页 交流异步电动机软起动控制器设计

交流异步电动机软起动控制器设计

举报
开通vip

交流异步电动机软起动控制器设计交流异步电动机软起动控制器设计 辽宁科技大学硕士论文 摘要 摘 要 异步电动机起动电流一般是电动机额定电流的5,7倍,特别是大功率电动机起动电流对电网的冲击更大,引起电网电压降落,影响其它用电设备的正常运行,甚至造成其它用电设备的停止运行或故障。传统电动机降压起动设备主要有自耦变压器和Y/?变换降压起动设备,属于有级降压起动。本论文应用电力电子技术,对异步电动机软起动控制器进行设计、研究。这种异步电动机软起动系统属于无级调节,解决了大功率异步电动机起动时,起动电流过大,对电网冲击影响较大的问题。软起动器采用晶...

交流异步电动机软起动控制器设计
交流异步电动机软起动控制器 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 辽宁科技大学硕士论文 摘要 摘 要 异步电动机起动电流一般是电动机额定电流的5,7倍,特别是大功率电动机起动电流对电网的冲击更大,引起电网电压降落,影响其它用电设备的正常运行,甚至造成其它用电设备的停止运行或故障。传统电动机降压起动设备主要有自耦变压器和Y/?变换降压起动设备,属于有级降压起动。本论文应用电力电子技术,对异步电动机软起动控制器进行设计、研究。这种异步电动机软起动系统属于无级调节,解决了大功率异步电动机起动时,起动电流过大,对电网冲击影响较大的问 快递公司问题件快递公司问题件货款处理关于圆的周长面积重点题型关于解方程组的题及答案关于南海问题 。软起动器采用晶闸管调压方式,采用Actel公司Fusion系列AFS600型号FPGA来进行设计,采用模块化设计思想,主要设计了缺相保护、移相触发、控制、过电流保护、晶闸管过热保护、驱动等模块。通过改变晶闸管的触发角来实现对定子两端的电压的调节,从而实现了异步电动机的各种形式的软起动及控制等功能,利用微控制器实现电压斜坡起动、限流起动、软停车等。本文利用PSIM软件搭建三相交流调压电路的系统仿真模型,对软起动的控制方式进行了仿真研究,仿真结果表明这种软起动控制系统可以有效地减小电机起动时对电网的冲击。本文利用Libero IDE开发软件平台来完成对上述功能的设计和仿真。 关键词: 异步电动机,软起动器,FPGA,PSIM,微控制器 I 辽宁科技大学硕士论文 ABSTRACT ABSTRACT Asynchronous motor starting current is usually 5,7 times the motor rated current, especially the high-power motor starting current is a greater impact on the electric networks, causing the electric networks voltage drop, affecting the normal operation of other electrical equipment, and even cause other electrical equipment, stop running or breakdown. Conventional motor buck starting equipment are mainly auto-step-down transformer and Y / ? transformation buck starting equipment, belong to the there class step-down start. In this paper, application of power electronics technology, design and research asynchronous motor's soft-start controller. This sort asynchronous motor soft starter systems are belong to stepless regulation, to address the problem when the high-power asynchronous motor starting, the starting current is too large, the larger impact of the electric networks. The voltage adjusting pattern of SCR is used in this soft starter, using Actel's Fusion Series AFS600 Model FPGA to design, by modular design idea, mainly design the phase-shift trigger module, incomplete phase protection module, over-current protection module, thyristor overheating protection module, and so on. Change the thyristor firing angle to regulate the voltage across the stator, thus it realizes the functions of various forms soft-starter of asynchronous motors and control, and use microcontroller to achieve the voltage-slope start, the current-limit start, the soft-stop and so on. This design builds three-phase AC regulation voltage circuit system in PSIM, and conducts study on simulation soft-start control mode. The simulation results present that the system based on the 3-phase regulating circuit can reduce the high starting current as asynchronous motors start up directly. This design use Libero IDE develop software platforms to complete the design and simulation of the above functions. Key words: asynchronous motors, soft-starter, FPGA, PSIM, Microcontroller II 辽宁科技大学硕士论文 目录 目 录 摘 要 ...................................................... I ABSTRACT ..................................................... II 目 录 .................................................... III 第一章 绪 论 ............................................... 1 1.1 软起动概念 ............................................... 1 1.1.1 软起动概念的提出 ..................................... 1 1.1.2 软起动控制器简述 ..................................... 2 1.2 软起动器的技术优势 ...................................... 2 1.3 研究软起动控制系统的必要性 .............................. 3 1.4 国内外软起动技术产业现状及未来发展方向 .................. 4 1.5 本文所做的工作 .......................................... 5 第二章 异步电动机软起动控制理论分析 .......................... 7 2.1 异步电动机 ............................................... 7 2.1.1 异步电动机机械特性................................... 7 2.1.2 异步电动机起动过程分析 .............................. 9 2.1.3 异步电动机软起动控制器系统基本结构 .................. 9 2.2 软起动控制器主电路 ..................................... 10 2.2.1 晶闸管调压电路分析.................................. 10 2.2.2 触发角与功率因数角之间的关系 ....................... 12 2.2.3 异步电动机功率因数角的变化规律 ..................... 16 2.2.4 功率因数角对晶闸管调压电路输出电压的影响 ........... 18 2.3 软起动控制器控制方式 ................................... 22 2.3.1 软起动方式 .......................................... 22 2.3.2 停车方式 ............................................ 23 2.3.3 轻载节能 ............................................ 24 第三章 软起动控制器系统的仿真 ................................ 27 3.1 PSIM简介 ............................................... 27 3.2 软起动控制器系统的仿真 ................................. 27 III 辽宁科技大学硕士论文 目录 3.2.1 软起动系统的仿真模型 ............................... 27 3.2.2 直接起动系统的仿真模型 ............................. 32 3.3 仿真结果 ................................................ 32 3.3.1 直接起动仿真 ........................................ 32 3.3.2 软起动仿真 .......................................... 34 3.4 仿真结果分析 ............................................ 38 第四章 软起动控制器硬件设计 .................................. 40 4.1 概述 .................................................... 40 4.2 控制部分 ................................................ 40 4.2.1 微控制器 ............................................ 41 4.2.2 AD转换器 ........................................... 41 4.2.3 DA转换器 ........................................... 42 4.2.4 键盘显示 ............................................ 43 4.2.5 参数存储 ............................................ 43 4.2.6 保护信号 ............................................ 44 4.2.7 精密整流 ............................................ 44 4.2.8 旁路 ................................................ 45 4.3 移相缺相保护部分 ....................................... 46 4.3.1 同步 ................................................ 46 4.3.2 移相 ................................................ 47 4.3.3 缺相保护 ............................................ 58 4.3.3 SmartDesign画布原理图及ModelSim仿真............... 60 4.4 驱动 .................................................... 64 4.5 过流过热保护 ............................................ 64 第五章 系统软件设计 .......................................... 66 第六章 总结与展望 ............................................ 70 6.1 总结 .................................................... 70 6.2 展望 .................................................... 70 参考文献 ..................................................... 71 附录一 ....................................................... 74 IV 辽宁科技大学硕士论文 目录 附录二 ....................................................... 79 致 谢 ..................................................... 85 V 辽宁科技大学硕士论文 第一章 绪论 第一章 绪 论 1.1 软起动概念 1.1.1 软起动概念的提出 软起动的概念起源于美国马歇尔航天中心,是采用电力电子技术、控制技术和微处理器技术等多种技术综合而成的全新电机起动方式。自从美国航天中心采用“软起动”解决了宇宙飞船上风机,水泵的起动电流问题后,这一全新的起动方式立即风靡欧美,代替传统的“星角起动”,“自耦降压起动”。 随着工业生产机械的不断更新和发展,对电动机的起动性能也提出了越来越高的要求,主要有: ?要求电动机有足够大的,并且能平稳提升的起动转矩和符合要求的机械特性曲线; ?尽可能小的起动电流; ?起动设备尽可能简单、经济、可靠,起动操作方便; ?起动过程中的功率消耗应尽可能的少。 从能源使用的角度,也有相当多的交流异步电动机及其拖动系统还处于非经济运行的状态,白白地浪费掉大量的电能。 根据以上相互矛盾的要求和实际情况,通常采取的起动方式有两种:一种是在额定电压卜直接起动方式,另一种是软起动方式。由于直接起动的危害很大(本文不赘述),所以软起动器因运而生。下面就软起动器特点归纳如下: ?电子软起动器结构简单,较之传统的Y/?起动器,自祸变压器起动器具有无触点、无噪音、重量轻、体积小,起动电流及起动时间可控制,起动过程平滑等优点,并且维护工作量小。当电动机空载或轻载时,节能效果显著,特别适用于短时满载,长时间空载的负载。 ?对于高转差电机,实心转子电机,力矩电机等,尤其是在带风机、水泵类负载时,有较好的调速性能,但不适用于普通的笼型电机调速。 ?采用智能控制器,具有完善的电机保护功能,保护整定值设置方便,保护性能可靠。 ?其最大缺点是由于采用晶闸管移相控制,故对电网及电机均存在谐 1 辽宁科技大学硕士论文 第一章 绪论 波干扰。 ?通过对晶闸管的相位控制,使电动机接线端子上的电压从预先可灵活整定的起动值上升到电网电压,从而使电流以及初始转矩能最佳地与传动装置实际需要相适应。 ?起动时间2-200s可调,负载范围2.2-800Kw、可带不超过5096Te的负载起动。 总之电子软起动器能够延长电动机的使用寿命、节约电能、减少对电网的冲击,改善电网质量。 1.1.2 软起动控制器简述 软起动控制器是一种集电机软起动、软停车、轻载节能和多种保护等功能于一体的新型电机控制装置。其特点是电动机转矩近似与定子电压的平方成正比用软启动器启动电机时,晶闸管的输出电压逐渐增加,电动机逐渐加速,直至晶闸管全导通,电动机工作在额定电压的机械特性上。 1.2 软起动器的技术优势 软起动器与自耦降压起动器等传统的降压起动设备相比,具有明显的技术优势。 (1)无冲击电流。软起动器使电机的起动电流以一定的斜率逐渐上升至设定值,对电网无冲击电流,提高了供电的可靠性。由于引入电流闭环控制,实现恒流平稳起动,无二次冲击电流,减小对负载机械的冲击转矩,延长了机组的使用寿命。软起动与传统的减压起动的特性曲线比较如图1-1所示。 (2)起动参数可调。软起动器的起动电流,起动转矩及起动时间均可根据负载情况及电网继电保护特性适当的选择,无级调节至最佳值。 (3)有软停车功能。软停机即平滑减速,逐渐停机,它可以克服瞬间断电停机的弊端,减轻对重载机械的冲击,避免高程供水系统的“水锤”效应,减少设备故障率。 (4)轻载节能。软起动器实质上是一个多功能自动调压器,电机轻载时,能适当的降低端电压,空载激磁电流及铁耗随之减少,功率因数提高,达到轻载节能的目的。 2 辽宁科技大学硕士论文 第一章 绪论 图1-1各种起动方式对电网的影响 1.3 研究软起动控制系统的必要性 异步电动机的特点是结构简单、价格低廉、运行可靠、坚固耐用、运行效率高和具有适用的工作特性,因而在工业、农业、民用电器等方面获得了相当广泛的应用。 然而,异步电动机也有其固有的缺点:?调速特性差和功率因数低;?起动电流和起动转矩大。 由于电网的功率因数可以用就地补偿的方法改善,所以异步电动机功率因数低并不妨碍其广泛使用;对于那些调速要求不高的生产机械,采用异步电动机,辅以机械变速装置就能满足要求。 对于异步电动机的起动电流和起动转矩大,情况比较复杂,要进行具体分析。 例如,在煤矿井下,电动机一般用直接起动方式,起动过程中出现较大的电流(一般可达额定电流的5,7倍,甚至更高),对电网影响较大。众所周知,异步电动机不存在换向问题,对不频繁起动的异步电动机来说,短时大电流没什么关系,尽管频繁出现短时大电流会使电动机内部发热影响绝缘和使用寿命,但只要限制每小时最高起动次数,对电动机影响不会十分明显。因此可以说,只考虑电动机本身,是可以直接起动的。但是,电网及给电动机供电的变压器容量是有限的,起动过程中大电流将对电网造成冲击厂,会使电网电压下降许多,不但影响了电网的供电质量,还直接影响其它在网用电设备的正常工作,甚至使异步电动机不能起动。 世界各国为保证电网的供电品质,对电动机的起动有明确规定。我国 [1]规定,在电动起动时,电网电压的下降量不能超过网压的15,。这对一 3 辽宁科技大学硕士论文 第一章 绪论 般用户来讲,无非有两条解决办法:?增加用户契约配电容量;?采用起动设备限制电动机的起动电流。如果仅仅为起动电动机而增大契约配电容量,对用户来说是很不经济的。 电动起动时,由于起动转矩很大,一般可达额定转矩的两倍以上,这将造成机械应力冲击,影响电动机本身及其拖动设备的使用寿命。例如:当电动机拖动皮带输送机时,其起动时的冲击应力将对皮带造成较大的破坏,降低皮带的使用寿命。 目前,大部分电动机起动时往往使用传统的降压起动设备,如饱和电抗器、自耦变压器、Y/?转换等,这些起动设备在很大程度上缓解了较大容量电动机在较小容量电网上起动使用的矛盾,但就其本质而言,并未解决电动机起动时的瞬时电流冲击问题,而只是缩短了电动机在起动过程中相对较长时间的大电流冲击,而且这些起动设备出厂后,其各段转换电压不再可调,这意味着它们的负载适应能力差,即使电动机负载很轻时,它的起动电流较之负载较重时也没有明显的减小。另外,这些起动设备在控制电动机起动电流过程中,起动电流是不连续的,当发生电压转换时,电动机亦将有瞬时的大电流冲击。何况这些传统的起动设备所应用的转换触点多,所以故障多,维修工作量大。 显而易见,我们需要一种能针对负载轻重,控制其起动电流的大小,在起动过程中电流无瞬时冲击,且能连续变化的软起动控制系统。这对于经常使用大型电动机的部门,具有十分重要的现实意义。 1.4 国内外软起动技术产业现状及未来发展方向 我国的软起动技术起步于80年代初期,现己推出JKB型软起动器和JQ 、JQZ型固态节能起动器等产品,JQ型用于轻负载起动,JQZ型用于 [2]重载起动,最大的控制功率可以达到800KW。虽然取得了一些成绩,但由于国内自行开发和生产的能力相对较弱,对国外产品的依赖还很严重。目前,国内开发的软起动器大体相当于发达国家80年代的水平。 国内软起动技术行业发展状况: (1)软起动器的整机技术落后,国内虽有很多单位投入了一定的人力、物力,但由于分散,并没有形成一定的技术和生产规模; (2)软起动器产品所用的半导体功率器件的制造业规模较小; (3)相关配套产业及行业落后; (4)产销量少,可靠性及工艺水平不高。 4 辽宁科技大学硕士论文 第一章 绪论 从总体上看,我国软起动技术与国际先进尚有差距。 国外软起动器发展较快,美、德、英、法等国的公司都推出了有各自特色的系列产品。目前国外著名的软起动器品牌有德国的ABB、法国的施耐德、德国的西门子、美国的AB等。这些产品中,国外产品在性能和可靠性上都具有一定的优势,可操作性较强,具有较好的人机交互界面,大部分具有基本的英文显示功能和通讯功能。 国外软起动技术的发展具有以下特点: (1)市场大量需求:随着工业自动化水平的不断提高以及全球性的能源短缺,软起动器会越来越广泛的应用于机械,纺织,化工,冶金,造纸,食品等各个行业及风机,水泵等起动装置。 (2)功率器件的发展:高电压、大电流的SCR,GTO,IGBT,IGCT等器件的生产以及并联,串联技术的应用,使高电压、大功率的软起动器产品的生产与应用成为现实。 (3)控制理论与微电子技术的发展。矢量控制、磁通控制、转矩控制、模糊控制和神经网络控制等新的控制理论为高性能的软起动器提供了理论基础。 (4)基础工业和各种制造业的高速发展。软起动器相关配件社会化,专业化生产。 作为强弱电结合的软起动是机电一体的综合性技术,既要处理巨大的电能转换,又要处理信息的搜集、变换和传输。因此其技术研究也会在两个方向进行。可以预见,未来的软起动器将沿着以下方向发展: (1)提高起动转矩。采用分级变频起动,使电机低速起动时,起动电 [3-4]流小,起动转矩大,可以在满负荷的情况下实现软起动 [6-14](2)实现高水平的控制,向智能化的方向发展 [5](3)起动器将向中压电机软起动方向发展 [5](4)向小型化方向发展 1.5 本文所做的工作 对异步电动机的机械特性,起动过程进行理论分析。 对晶闸管调压电路进行分析,分析晶闸管的触发角与功率因数角之间的关系;异步电动机功率因数角的变化规律;功率因数角对晶闸管调压电路输出电压的影响。 对各种软起动及控制方式作相关介绍。 5 辽宁科技大学硕士论文 第一章 绪论 利用PSIM软件搭建三相交流调压电路的系统仿真模型,对软起动的控制方式进行了仿真研究,仿真结果表明这种软起动控制系统可以有效地减小电机起动时对电网的冲击。 控制部分的硬件设计。 移相触发保护部分的移相、缺相保护功能采用Actel公司Fusion系列AFS600型号FPGA来实现。利用Libero IDE开发软件平台来完成对上述功能的设计和仿真。 对控制部分的软件编程设计。 6 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 第二章 异步电动机软起动控制理论分析 2.1 异步电动机 电机理论的发展、大量电力电子器件、集成电路和模块的出现,近代控制理论和计算机控制技术的应用,使得电动机调速的理论和技术研究进入了一个全新的阶段。优良的电机调速系统的研制和开发已成为实现高效节能、优质高产的现代化生产的重要基石。 2.1.1 异步电动机机械特性 [13]下图是异步电动机简化等效电路图 图2-1 异步电动机简化等效电路图 其中: rr,是定子,转子电阻 12 XX,是定子,转子漏抗 12 r是铁耗等效电阻 m X是激磁电抗 m s是转差率 UI,是定子电压,定子电流 11 根据图2-1可推导出异步电动机的机械特性数学表达式(2-1): 7 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 ,r223pU1s 牛?米 (2-1) M,,r222,2[()()]πfrxx,,,1112s 式中: M-电动机的电磁转矩; P-电动机的磁极对数; U-电动机定子端电压; 1 s-电动机的转差率; f-电网供电频率; 1 xr, -定子绕组的电阻,漏电抗; 11 ,xr, -折算后转子绕组的电阻,漏电抗。 22 由式(2-1)可见,改变异步电动机定子电压、频率都会使电动机转速发生变化,实现调速;与此相反,不改变电动机的特性,而靠改变负载转矩虽也可以使速度变化,但不属于调速,而是负载扰动,一般是不希望的,并且是要实现稳速所要解决的首要问题。 在不改变供电频率和磁极对数的情况下,表征异步电动机机械特性的参数主要有:定子电压,定子阻抗和转子阻抗,改变它们即可改变异步电动机机械特性,从而实现调速。 不同定子端电压的人为机械特性如图2-2。 图2-2改变定子端电压的人为机械特性 由于异步电动机的同步转速n与电压U毫无关系,不同电压U的人11 2M为机械特性都过n点。由于电磁转矩M与成正比,为此最大转矩以U1m1 M及最初起动转矩要随U的降低而按平方规律减小,至于最大转矩对应1st 8 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 s的转差率,与电压U无关,并不改变大小。 1m 2.1.2 异步电动机起动过程分析 在起动瞬间,转子不能立即旋转,n=0,转差率s=1,转子电动势最大, I起动电流很大。对于普通鼠笼型电动机,起动电流为额定电流的5倍以st 上。过大的起动冲击电流,对电机本身和电网以及其它电气设备的正常运行都会造成不利的影响,一方面使电机发热会影响其使用寿命,供电线路电压损失增大,另一方面可能使并联与同一供电线路上的其它电气设备的正常运行遭到破坏。 M直接起动时,起动转矩并不大。因为起动转矩决定于转子电流的有st 功分量和气隙磁通。起动时s=1,转子漏电抗最大,功率因数很低(一般为0.3左右)。很大的起动电流使定子阻抗压降增大,从定子侧电压平衡关系分析,必然导致定子电势下降、气隙磁通降低。起动转矩小,将延长起动时间,增大起动过程的能量损耗,降低效率。如果起动转矩小于负载转矩,则电动机将无法起动。 据上述分析,当电源频率和电动机的参数都不变时,在一定的转差率下,起动转矩与电机定子端的电压平方成正比,起动电流与定子端的电压 2MU,IU,成正比,即,。因此,可以通过控制定子电压对起动转矩和st1st1 起动电流进行控制。这恰好就是许多起动方式和起动设备所采用的理论根据。 通过控制电动机的起动电压,避免了起动转矩和起动电流的过大峰值。一方面可以使供电系统避免受到过大起动电流的冲击,另一方面也使工作机械免受交流电动机起动过程中过大的加速转矩应力的冲击,大大减轻了作用在被传送物体上的机械应力,减小了工作机械和传动装置的零部件磨损,从而达到减少维护工作量、提高工作安全和延长设备使用寿命的目的。 2.1.3 异步电动机软起动控制器系统基本结构 图2-3所示是系统的基本结构图,异步电动机M是以一定转矩和转速带动工作负载运动的受控对象,本文取定子侧电流I作为控制量(另外还1 有控制量是定子侧电压U)。其输出量为转矩J和角速度Ω。“调压模块”1 是可改变输出电压的功率装置。“驱动隔离”的作用是将控制器发出触发信号进行隔离驱动放大。“同步”为控制系统提供三相同步信号。“反馈 9 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 装置”指主电路中的电流传感器,热传感器输出信号等。“控制装置”是控制算法实现的核心, 图2-3系统基本结构图 2.2 软起动控制器主电路 [15]软起动器主电路一般采用图2-4所示的三相反并联交流调压电路,通过改变晶闸管的触发角来改变负载端电压来改变电机的转速和定子电流等物理量。图3-1中旁路接触器触点是当软起结束时吸合,使晶闸管调压模块不工作,达到减小损耗。 晶闸管的控制方式有两种:一是相位控制,即通过控制晶闸管的导通角来调压;二是周波控制,在一定的时间内,控制晶闸管的导通的工频周 [16-20]期数来达到调压的目的。本文所用交流调压方式均指相位控制。 图2-4软起动控制器主电路 2.2.1 晶闸管调压电路分析 因为电机为R-L负载,为了分析调压电路中触发角和输出电压的关系, 10 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 可将图2-5等效电路图为图2-4。其中,用来模拟反并联的晶闸SSS1,2,3 管,当取1时表示该相负载与电源相连,否则断开。当只有一相与负载相连时,无法构成回路,对这种情况,用另一个开关量S来模拟,当S=1时,表示电路中至少有两相与电源相接,否则S=0。对此种星型连接电路,在 [21]各相之间无耦合的情况下,只有五种工作模式。 图2-5阻感性负载时的等效电路 iii模式1:所有相都连接,,,都是非零值,,SSSS1231,,,,sasbsc VV,VV,VV,则有, ,。 saasbbscc iii,0模式2:C相断开,其它相连接,,都是非零值,, S1=S2=S=1, sasbsc 11V,0S3=0,则有, ,。 VV,VV,scsaabsbba22 iii,0模式3:B相断开,其它相连接,,都是非零值,, S1=S3=S=1, sascsb 11V,0S2=0,则有, ,。 VV,VV,sbsaacscca22 iii,0 模式4:A相断开,其它相连接,,都是非零值,, S3=S2=S=1, sbscsa 11V,0S1=0,则有, VV,,VV,。 sasbbcsccb22 i,0i,0i,0V,0模式5:三相全断,,,,, , SSSS1230,,,,sasbscsaV,0V,0 , 。 sbsc 对以上各种工作模式的总结,可以得到负载相电压的一般表达式(由相电压和开关量表达)。 S1VSSVSVSV,,,,[(23)23] (2-2) saabc123,,SS S2 (2-3) VSSVSVSV,,,,[(13)31]sbbca113,,SS S3 (2-4) VSSVSVSV,,,,[(12)21]sccba112,,SS 其中,,是负载相电压,Va,Vb,Vc电源电压,其值分别为: VsaVsbVsc 11 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 VVt,*sin(), (2-5) am 2π,,VV,ωt-*sin (2-6) bm,,3,, 4π,,VV,*sinωt- (2-7) cm,,3,, 瞬时相电流,线电流可以通过微分方程组求得: ,1pABVRA,,() (2-8) 其中 TTAiii,[]VVVV,[], , abcasbscs Rdiagrrr,[],Bdiaglll,[] 其中P为微分算子,r、l分别是负载电阻和电感。 [20,21]由于电机可以等效成阻感性负载,因此,它也有上述五种工作模式。 2.2.2 触发角与功率因数角之间的关系 三相调压电路的连接方式有全波调压电路、半波调压电路、Y形连接(以下简称为Y连接)调压电路以及?形连接(以下简称为?连接)调压电路。以下讨论三相全波Y连接调压电路,如下图所示,此电路的每一相都有并联反接的两只晶闸管,这两只晶闸管可用一只双向晶闸管代替,线 [25]路工作特点不变。此电路较其他调压电路谐波少。 图2-5 三相全波Y连接调压电路 对于图2-5所示电路,各个晶闸管按照如下规律触发: (1)电机正转时晶闸管的触发顺序为VT1?VT2?VT3?VT4?VT5?VT6?VT1,各管触发脉冲相差60?;电机反转时晶闸管的触发顺序为VT6?VT5?VT4?VT3?VT2?VT1?VT6,各管触发脉冲相差60?。基于这种触 12 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 发原则,同相相反连接的两晶闸管VT1-VT4之间、VT3-VT6之间和VT5-VT2之间触发脉冲互差180?;不同相但连接方向相同的晶闸管VT1-VT3-VT5之间和VT4-VT6-VT2之间互差120?。 (2)由于负载力矩电机为特殊的感性负载,因而每个晶闸管的触发脉冲采用宽脉冲(脉冲宽度大于60?)或双脉冲触发,原因如下。 交流力矩电动机是感性负载,对于感性负载,电流和电压波形,且电 ,流滞后电压一个角度,这时调压电路的输出电压不仅与触发角有关,业余负载的阻抗角有关。由异步电动机的等值电路可以看出,整个电路的, 总阻抗是随转差率s不同而变化的,即是个变量,这就增加了问题的复, 杂性。同相正、反向晶闸管在感性负载下触发导通的情况类似于工作在交流电压下的感性负载电路突然合闸时电流的瞬态过程,如图2-6所示的电路,设交流电源电压为,开关S在t=0时合闸(相当于uUt,,2sin(),,11 ,触发角为时,使晶闸管触发导通),根据电路理论可知,此时流过的负载电流为: 22UUR11,,,,,,,,,,,ittt()sin()sin()exp() (2-9) 12222L,,RLRL()(),,11 ,L1式中,RL电路的功率因数角,arctan。式(2-9)中第一项,R 2U1sin(),,,t,,为电流的稳态分量,按正弦规律变化;第二项122,RL(),1 2UR1,,,,sin()exp()t为瞬态分量,是在合闸后短时间内存在的一22L,RL(),1 ,,个递减电流分量,当t?4(=L/R)后,这一项基本消失。以下对三种情况进行分析: 图2-6 单相调压电路 1.时 ,,, 13 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 由式(2-9)可知,由于,负载电流中没有瞬态分量,电流在接it(),,, 通时就进入稳态,此时负载上得到全电压,且电流连续,晶闸管不再起调压作用。 2.时 ,,, 由式(2-9)可知,此时表达式第二项是负值,说明在这种情况下实it() ,,t,t际电流过零点()比稳态电流过零点()的时间提前了,如图2-711 所示。由于同相连接相反的两个晶闸管触发脉冲前沿距离恒为180?,这样在同相另一晶闸管的触发脉冲到来时,原已导通的反相晶闸管已关断,且阳极、阴极间已加上反向电压,所以另一只晶闸管可以在触发脉冲作用下触发导通,此时晶闸管起调压作用。 图2-7 时的电压、电流波形图 ,,, 3.时 ,,, 由式(2-9)可知,此时it()表达式第二项是正直结果使实际电流过零点 ,,t,t()比稳态电流过零点()的时间延后了,如图2-8所示。由图可11 知,由于同相连接相反的两个晶闸管触发脉冲前沿距离恒为180?,这样在同相另一晶闸管的触发脉冲到来时,原已导通的该相晶闸管尚未关断,所以另一晶闸管触发脉冲不起作用。如果所用触发脉冲不够宽,在双向晶 ,t闸管电流过零()之时触发脉冲已消失,那么另一晶闸管在下一半周期1 时将由于没有触发而不能导通,结果形成“半向半波整流”现象。 14 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 图2-8 时的电压、电流波形图 ,,, 如果采用足够宽的触发脉冲,使正向电流过零时,反向呆工作的晶闸管人又触发电流存在,就可以保证另一晶闸管继续导通,从而能消除“半向半波整流”现象。 对于力矩电动机而言,其功率因数角是电动机转速的函数,并且变, 化范围较大,所以三相全波Y连接调压电路在实际工作中,必然会实现 的情况。为了避免“半向半波整流”现象的出现,应采用宽脉冲或双,,, 脉冲进行触发,这样在稳定工作时,将得到和时一样的电流波形,即,,, 在这种情况下,负载上的电压也是不可调的。 综上所述,三相晶闸管调压电路带力矩电动机这徉的感性负载时,总 ,的趋势触发角越大,调压电路输出交流基波电压越低,且输出基波电压 ,海域力矩电动机的功率因数角有关。当时,输出基波电压随增大,,,, 而减小。当时,此时晶闸管导通角为180?,负载得到全电压。当,,,,,,时,一定要采用宽脉冲或双脉冲触发,否则将出现“半向半波整流”现象,而当采用宽脉冲(脉宽大于60?)或双脉冲触发时实际上晶闸管导通角为180?,调压电路失去调压作用。 ,三相交流调压电路在正常工作时,不同的触发角,负载电流的波形 ,是不相同的。但不管为多大,每一相负载电流波形都是正负半周对称的。 I在这种情况下,流过每一个晶闸管的电流有效值,可由式(2-9)求半波的V 方均根值得到: 2,2U1R1,,,,,,,,,,,,I[sin()sin()exp()]()ttdt (2-10) V11,2202L,,RL(),1 式中,为晶闸管中电流过零点角度。 , 2U1I,令,为时(即稳态)流过晶闸管电流的有效值。,,0022RL,(),1 15 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 *I式(2-10)根号内的值定义为流过晶闸管的电流有效值标么值,则式(2-10)V可写成: * (2-11) III,2VV0 *I,和的关系如图2-9所示。有图可见,当时,负载上的电压及,,,V ,电流随的增大而减小。 三相交流调压电路用晶闸管进行控制时,在负载上的电流波形已非正弦波,所以在电路中必然有谐波分量存在。同时,负载中的谐波成分不仅和晶闸管的导通角有关,也和电路的结构形式有关。以三相对称电源电压供给力矩电动机负载,再不产生“半向半波整流”现象情况下,不管触发,角为多大,由于电压波形正负对称,因此,电动机三相定子电流中仅含奇次谐波,没有偶次谐波,且电动机三相绕组中的合成三次谐波磁通势为零。进一步分析可以证明,电动机气隙中不存在3、9、15、21,„,(6n+3)次谐波磁通势。 *I,图2-9 和角的关系曲线 V 2.2.3 异步电动机功率因数角的变化规律 三相异步电动机可用T型电路进行等效,如图2-10所示,电机的功 UI率因数角为相电压与相电流的相位差,它等于电机一相阻抗Z的阻,AA 抗角。当电机参数已知时,阻抗Z可根据图2-10由式(2-12)计算: ,r2,()()rjxjx,,mm,2s (2-12) ,ZrjxZ,,,,,11,,r2,rjxjx,,,mm2,s 16 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 图2-10 三相异步电动机T型等效电路 由式(2-12)可得到式(2-13) ,,rrxrmm22,,()(),,,xxjrx,,mm22ss (2-13) Zrjx,,,11,,r2,()()rjxx,,,mm2,s ,,,rrxrrm2m22,,,Dxx,,令,,,将式(2-13)AxxCr,,,,Brx,,m2,,,mm2m2sss 进一步转化,得式(2-14)。 ACBDADBC,,,,,,Zrjx,,,, (2-14) 11,,,,,2222CDCD,,,,,, 则功率因数角与转差率s之间的函数关系如下式所示。 , ADBC,x,1,22CD, (2-15) ,ls()arctan,,ACBD,r,122CD, n对于给定的电机,当供电频率不变时,其同步转速是确定的,由0 nn,0s,及式(2-15)可得电机的功率因数角与电机转速n之间的函数关,n0 系: ,,fn() (2-16) ,,,,2,50Hzff用MATLAB编辑m文件,,代入电机参数,得到以 ,r,,1.33x,,4.4r,,7x,,2.43r,,1.12x,,90下电机参数:,,,,,。12,m1,2m画出n与的关系曲线图,如下所示。 , 17 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 图2-11 功率因数角随转速变化曲线 从图2-11可以看到,在电机起动过程中,电机的功率因数角变化非,常大:电机由静止状态开始,随着电机转速n的上升,角逐渐减小,当,电机转速上升到额定转速时,角达到最小值,如图3中第1段曲线所示;, 当电机处于轻载运行状态下时,其转速可以进一步提升,此时,功率因数角又随转速n的上升而增大,如图2-11中第2段曲线所示。 , 2.2.4 功率因数角对晶闸管调压电路输出电压的影响 Z晶闸管调压型软起动控制器的一相等效电路如图2-12所示,其中L uu为电机一相的等效阻抗,为电网的相电压,为晶闸管输出电压。设L uUt,2sin,,。图2-12为一相晶闸管的工作电压示意图,其ZZ,,,LL Z中。为晶闸管的触发角,为电动机的功率因数角,即的阻抗角,θ为,L晶闸管的导通角。 图2-12 晶闸管调压电路的某相等效电路 18 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 图2-13 晶闸管调压电路的某相输出电压 由上图可知晶闸管的导通角。θ角的大小决定了晶闸管的,,,,,,, 输出电压,即加在电机端口的电压。当时,一般对晶闸管正负半周的,,, 触发是对称的,晶闸管的输出电压有效值可由式(2-17)计算: 2,,,,12U2222 (2-17) ,,,,,,,,,,UudtudttdttdtsinsinL,,,,,,,,00,,,, UU,当时,。 ,,,L U,将式(2-16)代入(2-17)中,可得到与、n的关系式(2-18) L Ugn,(,), (2-18) L 由图(2-11)可知,无论是1段曲线还是2段曲线,当触发角小于功率因数角最小值时,晶闸管调压模块就不能起到调压作用。对于图(2-11)中1段曲线,当触发角介于功率因数角最小值和最大值之间时,当转速由0逐 U渐增加时,功率因数角由最大值逐渐减小,当减小到触发角之前,中等L于加全压时的有效值U,当功率因数角小于触发角时,晶闸管调压模块起到调压作用,输出电压有效值可根据式(2-17)计算;当触发角大于功率因数角最大值时,晶闸管调压模块起到调压作用,输出电压有效值可根据式(2-17)计算。对于图(2-11)中2段曲线,触发角只有两种情况,第一种是小于功率因数角最小值,第二种是在功率因数角变化范围内。对于第一种情况,在前面已经陈述。对于第二种情况,属于触发角介于功率因数角最小值和最大值之间这种情况,当触发角大于功率因数角时,晶闸管调压模块起到调压作用,输出电压有效值可根据式(2-17)计算得到;当触发角小于 U功率因数角时,中等于加全压时的有效值U。 以下是对上述情况的仿L 真曲线。 19 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 U图2-14 当触发角为10?时,与n的关系曲线 L U图2-15 当触发角为10?时,与的关系曲线 ,L U图2-16 当触发角为30?时,与n的关系曲线 L 20 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 U图2-17 当触发角为30?时,与的关系曲线 ,L U图2-18 当触发角为60?时,与n的关系曲线 L U图2-19 当触发角为60?时,与的关系曲线 ,L 21 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 2.3 软起动控制器控制方式 随着电力电子技术和微机控制技术的发展,国内外相继开发出一系列电子式起动控制设备,用于异步电动机的起动控制,以取代传统的降压起动设备。新型的电子式软起动控制器的主电路一般都采用晶闸管调压电路,调压电路由六只晶闸管两两反向并联组成,串接于电动机的三相供电线路上。当起动器的微控制系统接到指令后,便进行有关的计算,输出晶 ,闸管的触发信号,通过控制晶闸管的导通角,使起动器按所设计的模式调节输出电压,以控制电动机的起动、停止过程或轻载节能。对于软起动、停车方式以及轻载节能下面将做介绍。 2.3.1 软起动方式 所谓“软起动”,实际上就是按照预先设定的控制模式进行的降压起动过程。目前的软起动器一般有以下几种起动方式,起动过程相关参数曲线图如图2-20至图2-23所示。 (1)限流软起动:限流起动顾名思义就是在电动机的起动过程中限制其起动电流不超过某一设定值(Im)的软起动方式。主要用在轻载起动的负载的降压起动,其输出电压从零开始迅速增长,直到其输出电流达到 I预先设定的电流限值Im,然后在保持输出电流。这种起动方式的优点是N 起动电流小,且可按需要调整,(起动电流的限值Im必须根据电动机的起动转矩来设定,Im设置过小,将会使起动失败或烧毁电机。)对电网电压影响小。其缺点是在起动时难以知道起动压降,不能充分利用压降空间,损失起动转矩,起动时间相对较长。 图2-20 限流起动 (2)电压斜坡起动:输出电压由小到大斜坡线性上升,将传统的降压起动变有级为无级,主要用在重载起动。它的缺点是起动转矩小,且转矩特性呈抛物线型上升对起动不利,且起动时间长,对电机不利。改进的方法是采用双斜坡起动:输出电压先迅速升至U1,U1为电动机起动所需的 22 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 最小转矩所对应的电压值,然后按设定的速率逐渐升压,直至达到额定电压。初始电压及电压上升率可根据负载特性调整。这种起动方式的特点是起动电流相对较大,但起动时间相对较短,适用于重载起动的电机。 图2-21 电压斜坡起动 (3)转矩控制起动:主要用在重载起动,它是按电动机的起动转矩线性上升的规律控制输出电压,它的优点是起动平滑、柔性好,对拖动系统有利,同时减少对电网的冲击,是最优的重载起动方式。它的缺点是起动时间较长。 图2-22 转矩控制起动 (4)转矩加突跳控制起动:转矩加突跳控制起动与转矩控制起动一样也是用在重载起动的场合。所不同的是在起动的瞬间用突跳转矩,克服拖动系统的静转矩,然后转矩平滑上升,可缩短起动时间。但是,突跳会给电网发送尖脉冲,干扰其它负荷,使用时应特别注意。 图2-23 转矩加突跳控制起动 2.3.2 停车方式 停车方式有三种:一是自由停车,二是软停车,三是制动停车。软起 23 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 动器带来的最大好处是软停车和制动停车,软停车消除了拖动系统的反惯性冲击,对于水泵就是“水锤”效应;制动停车则在一定场合代替了反接制动停车功能。 2.3.3 轻载节能 一、能源问题概述 我国的能源政策是注重能源资源节约和合理利用。缓解我国能源资源与经济社会发展的矛盾,必须立足国内,显著提高能源资源利用效率。坚决实行开发和节约并举,把节约放在首位的方针。鼓励开发和应用节能降耗的新技术。2004年,我国为电力、煤炭、石油等能源价格上涨而付出的代价高达百亿美元,而能源短缺间接对国民经济造成的经济损失更难以用具体的数值来估量。节能是我国经济和社会发展的一项长远战略方针,也是当前一项极为紧迫的任务。电动机是电能消耗的最大用户,也是节电潜力最大的用户。在工业生产中电机是最重要的原动力设备,据统计电机用电量占总发电量的50%以上。在额定负载附近,电机的效率最高,通常都在80%以上,当负载下降之后,效率随之显著下降。而电机选型时是按照需要的最大负载和最坏情况下所需要的功率而定的,因而大多数情况下,电机运行在轻载情况下;在轻载或不均匀负载情况下,电机的运行效率都较低。因此,提高这些电机的运行效率,可以显著节省电能。异步电机的启动性能较差,全压启动电流约为额定电流的5,7倍,对于大功率电机,将对电网产生很大冲击,影响同一电网中其他用电设备的正常工作;同时,全压起动对电机的机械部分也产生大的冲击,缩短机械部分的使用寿命。若采用软起动措施,平稳升高起动电压,直至正常工作,这样既改善了电机起动对电网的冲击,也减小了机械部分承受的冲击。交流感应电机存在的最大问题是:它输出的转动扭力无法配合起动和运行时的负载扭力。电机起动时,通常在几分之一秒内产生正常时150%至200%的扭力,令负载增至正常速度,这会导致驱动结构受到极大的冲击力矩损害。与此同时电机产生比平常高5,7倍的起动电流,影响供电系统的稳定性。当电机长时间处于半负载状态时,它的铜线圈绕组产生过量磁通,导致电机效率下降。该电流(通常称为感应电流)是固定的,致使电机浪费了约30%至50%的电能。 24 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 二、电动机的损耗 电动机的损耗包括有功损耗和无功损耗。 有功损耗分为不变损耗(铁耗)、可变损耗(铜耗)、机械和杂散损耗几部分。铁耗的大小同磁场强度和铁芯特性有关,与所加电压U的平方成正比,与频率f的1.3次方成正比。铜耗的大小与电动机的负载电流的平方成正比(即与负载率的平方成正比),与电动机定子、转子的电阻和成正比。机械损耗与电动机转速有关,其中摩擦损耗与转速成正比,风扇、转子等由于旋转引起的风阻损耗与转速的三次方成正比。在高速电机中,风阻损耗可占到机械损耗的70,80%。杂散损耗主要是高次谐波引起的损耗。若供电电源电压波形不好,谐波损耗会急剧增加。绕线式电动机和直流电机还有电刷的电阻损耗和电刷机械损耗。 电动机负载率越小效率越低,负载过重铜耗加大,效率也下降。 无功损耗包括两部分:一部分是建立旋转磁场所需要的空载无功功率,约占电机额定无功功率的60,,70,,其大小主要与容量有关;另一部分是带负荷时的漏磁损耗,它与电动机负载率的平方成正比。电动机负载率越小,功率因数越低。 异步电动机的效率和功率因数与负载率的关系如表2-1所示。 表2-1 异步电动机的效率和功率因数与负载率的关系 负载率 空载 25% 50% 75% 100% 功率因数 0.2 0.5 0.77 0.85 0.89 效率 0 78% 85% 88% 87.55 在电动机的使用上,大马拉小车、电动机特性与负载特性不匹配等是造成能源浪费的重要原因,应根据负荷特点选取和改进电动机的使用条件,避免电动机长时间轻载运行。 三、异步电动机轻载降压节能 电动机在运行期间,有很大的节能空间存在,特别是轻载和一些变载负荷拖动中(如钢板冷轧机、抽油磕头机),如果实施节能控制,节电率可达5%—38%。 电动机在满压轻载运行时,由于电动机的转速大于额定转速,负载率 25 辽宁科技大学硕士论文 第二章 异步电动机软起动控制理论分析 很低,电机铜损、铁损比例增加,功率因数下降,电机有效用电率下降(电机效率下降)。由图2-11 功率因数角随转速变化曲线可知,电机在轻载时,转速大于额定转速,功率因数角大于其最小值。如果降低输入电压,减少电机主磁通,电机的铁心损耗及磁化电流将减少,转速将降低到额定值,功率因数角将减小,从而电机的效率、功率因数将得到提高。 由上分析可知,软起动控制器要具备轻载节能功能,需对系统进行功率因数检测或具备电机参数辨识功能,而对于用主电路为晶闸管调压模块的软起动控制器要实现对电机的参数辨识比较困难,所以一般采用检测功率因数角,来组成功率因数闭环控制系统实现有效地节能控制。 26 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 第三章 软起动控制器系统的仿真 3.1 PSIM简介 PSIM 是专门为电力电子和电动机控制设计的一款仿真软件。它可以快速的仿真和便利地与用户接触,为电力电子,分析和数字控制和电动机驱动系统研究提供了强大的仿真环境。 PSIM的 3个其它模型:电动机驱动模型,数字控制模型和联结模型。电动机驱动模型已经在机器模型和为驱动系统研究的机械装备模型里建立起来了。数字控制模型为数字控制分析提供了离散的元素,例如:零状态监控,z-domain转换功能blocks,量子化 blocks,数字滤波器。联结模型为共同仿真在PSIM和Matlab/Simulink之间提供了相互接触。 PSIM仿真软件包括3个方面:电路示意性的程序PSIM,PSIM仿真器,波形形成过程项目SIMVIEW。 3.2 软起动控制器系统的仿真 3.2.1 软起动系统的仿真模型 本系统采用PSIM6.0软件进行仿真。图3-1为PSIM建立的异步电机软起动系统的仿真模型。本系统主要由三相交流电压源、同步检测、脉冲发生、三相交流调压、电机及测量、电流反馈和起动控制等环节封装模块组成,结构划分与异步电机软起动系统原理图基本一致。该模型可用来做限流起动及其它控制方法的仿真。本文只做了限流起动的仿真。 27 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 图3-1 软起动系统的仿真模型 ?三相交流电压源 采用三相交流电源,使用时只需设定电源的电压与频率。线电压设置为380V,频率为50Hz。初始相位为0。 ?同步环节模块 电源同步检测模块采用3个电压传感器从A,B,C三相获得3组同步信号,再用6个比较器分别如图3-2所示。 28 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 图3-2 电源同步检测模块 ?脉冲发生环节 脉冲发生环节模块的六路脉冲的产生是互不干涉的,用6个α控制器搭建而成,如图3-4所示。触发脉冲采用宽脉冲触发,脉冲宽度为120度。设置界面如图3-3所示。 简单介绍一下α控制器,α控制器用作控制晶闸管开关或桥的延迟角。控制器有 3 个输入:α值,同步信号,门控信号。同步信号从低到高的转变(0 到 1) ,提供了同步,并且与延迟角α等于 0的时刻相一致。会产生带有α角度延迟的门信号,并传到晶闸管。α角的数值将会即时更新。 图3-3 α控制器参数设置界面 29 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 图3-4 脉冲发生环节器件连接 ?电流检测 实际应用的软起动系统,通常是采用电流互感器取得反馈信号,经整流、滤波等信号处理环节,以获得如实反映起动电流(一般为有效值)的信号,实现起来比较复杂。在仿真建模中,可用电流互感器获取定子侧电流,然后经RMS模块(基波频率设置为50Hz)得到有效值。 ?软起动闭环控制 给定由电压源设置,给定与电流反馈有效值作差,通过PI调节给数学功能块m,数学功能块m输出限幅模块,最后到“CFJ”端子。如图3-5所示。 图3-5 软起动PI控制结构图 ?三相交流调压环节模块 三相交流调压环节是异步电机软起动特点所在,由脉冲发生环节输出的脉冲供给各晶闸管,确保它们之间触发顺序。选取6个晶闸管模块(Thristor),连接构成三对双向反并联晶闸管电路,构成三相交流调压模块,如图3-6所示。 30 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 图3-6 反并联晶闸管电路构成的三相交流调压子系统 ?三相交流异步电机模块 电机参数设置如下:P=6600W,V=311V,f=50Hz,Rs=1.56Ω,NNN L1s=0.002H,Rr’=0.83Ω,L1r’=0.002H,Lm,0.06931H,极数为4,J, 20.083Kg?m。该异步电动机的额定电流为10A。设置界面如下图所示。 图3-7 电机参数设置界面 31 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 3.2.2 直接起动系统的仿真模型 为了使软起动的优点得到对比,下面建立直接起动模型,如下图所示。 图3-8 直接起动系统的仿真模型 3.3 仿真结果 为了更好的体现软起动的优点,在进行仿真时,将直接起动和软起动的负载转矩设置为同一值,仿真时间也相同,这也就更具可比性。负载转矩均设置为10,直接起动的仿真时间为0.5s,软起动的仿真时间均为3s。 3.3.1 直接起动仿真 对3.2.2所述电动机进行直接起动仿真,电流,转速及转矩曲线如下图所示: 32 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 图3-9 直接起动时定子相电流曲线 图3-10 直接起动时定子相电流有效值曲线 33 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 图3-11 直接起动时的转速曲线 图3-12 直接起动时的转矩曲线 3.3.2 软起动仿真 对3.2.1节所述系统进行限流软起动仿真,电流,电流有效值,转速及转矩曲线如下图所示: 34 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 图3-13 软起动时的定子相电流曲线(限流值为30A) 图3-14 软起动时的定子相电流有效值曲线(限流值为30A) 35 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 图3-15 软起动时的转速曲线(限流值为30A) 图3-16 软起动时的转矩曲线(限流值为30A) 36 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 图3-17 软起动时的定子相电流曲线(限流值为35A) 图3-18 软起动时的定子相电流有效值曲线(限流值为35A) 37 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 图3-19 软起动时的转速曲线(限流值为35A) 图3-20 软起动时的转矩曲线(限流值为35A) 3.4 仿真结果分析 由上节仿真结果可知,直接起动起动电流很高,在起动时甚至是额定电流的7倍以上,如果是大功率异步电动机,在实际中运行,对电网的冲击是很大的,可导致电网中其他设备的不正常运行,甚至发生故障。虽然直接起动的起动时间很短,但是为了缩短起动时间而牺牲了电网和其他设备,这是不可取的。 与直接起动形成鲜明对比的软起动,在起动过程中电流有限制,基本 38 辽宁科技大学硕士论文 第三章 软起动控制器系统的仿真 不超过给定值,起动开始有一定超调,超调有一定好处,可以克服电机起动时较大的静摩擦力,使电机转动起来后,电流再下降到给定植,维持电动机的起动过程,当电动机的转速达到额定转速时起动过程结束,电流下降到额定电流大小,起动的整个过程非常平稳,没有对电网产生允许范围外冲击。 39 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 第四章 软起动控制器硬件设计 4.1 概述 本系统硬件主要通过两部分来实现,一部分为控制部分,另一部分为移相触发保护部分。结构图如下图所示。控制部分采用单片机及其外围设备(包括键盘显示部分),移相保护部分选用ACTEL公司FUSION 系列AFS600型号的FPGA来完成。 图4-1 软起动器结构图 4.2 控制部分 控制部分包括AD,DA,键盘,显示,保护信号输入,旁路,精密整流电路等。结构图如图4-2所示。 40 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 键盘、显示触发电角度 参数 存储 AD旁路微控制器转换器 精密整流保护信号输入 电路 DA转换器 电流传感器 图4-2控制部分结构图 4.2.1 微控制器 选用AT89S52,AT89S52是美国ATMEL公司生产的低功耗,高性能CMOS 8位单片机,片内含8k bytes的可系统编程的Flash只读程序存储器,器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准8051指令系统及引脚。它集Flash程序存储器既可在线编程(ISP)也可用传统方法进行编程及通用8位微处理器于单片芯片中,ATMEL公司的功能强大,低价位AT89S52单片机可为用户提供许多高性价比的应用场合,可灵活应用于各种控制领域。更多AT89S52的知识请参阅相关资料。 4.2.2 AD转换器 选用MAX 197,MAX 197是可编程、多通道、逐次比较,12位模/数变换器,单电源工作,它可以转换高于它工作电压2倍的正或负模拟信号,它具有8个模拟通道并且能各自独立软件编程实现不同范围的量程:10V,5V,0到10V,0到5V)。另外,该芯片还具有故障保护电路(对输,, 人多路转换器);当任何通道上发生故障时,并不影响选择通道的转换结果。它有一个标准的微处理器界面。8位的数据总线用来配置3态的数据 ,PsI/O口,数据存取和总线释放时间的载明在大多数里可以相互协调。所有的输入输出都是完全支持COMS/TTL电平。 41 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 4.2.3 DA转换器 选用DAC0832,DAC0832是采用CMOS工艺制成的单片直流输出型8位数/模转换器。如下图所示,它由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压VREF四大部分组成。运算放大器输出的模拟量Vo为: VR,REFfnn,,120(22...2)VDDD,,,,,,,, onn,,120n2R 图4-3 DAC 内部结构 VR,REFfnn,,120(22...2)VDDD,,,,,,,,输出的模拟量与输入的数,,120onnn2R nn,,120(22...2)DDD,,,,,,字量成正比,这就实现了从数字量到模拟量nn,,120 的转换。 DAC0832输出的是电流,一般要求输出是电压,所以还必须经过一个外接的运算放大器转换成电压。实验线路如下图4-4所示。 图4-4 DAC0832电压型输出电路图 42 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 4.2.4 键盘显示 键盘显示用到了单片机的串行输入输出接口RXD和TXD,用74LS164串并转换,4位数码管显示。 键盘显示调试程序见附录一。 图4-5是键盘显示硬件Proteus仿真,用Keil软件编译调试,调试程序用的是附录一中的程序。数码管显示“0123”。 图4-5 键盘显示硬件Proteus仿真 4.2.5 参数存储 选用X5045,它在本系统中的作用是存储用户从键盘设置的参数,以便下次运行时不必重新设置。本器件将四种功能合于一体,如上电复位控制、看门狗定时器、降压管理以及具有块保护功能的串行EEPROM。它有助于简化应用系统的设计,减少印制板的占用面积,提高可靠性等优点。该芯片内的串行EEPROM是具有Xicor公司的块锁保护CMOS串行EEPROM它被组织成8位的结构它由一个由四线构成的SPI总线方式进行操作其擦写周期至少有1000000次并且写好的数据能够保存100年。 关于它的操作详细请参阅相关文档。本文中编写了X5045相关操作的C程序函数源代码,在使用时将硬件端口号填写正确,存成.h头文件,在主程序中就可直接调用其中的函数。 43 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 4.2.6 保护信号 从移相触发保护部分引入的保护信号用光偶隔离传递给单片机和从单片机发出给移相触发保护部分的截止信号,如过流,过热,缺相保护等。下图是这部分电路。 图4-6 各种保护信号与控制器之间的连接电路 4.2.7 精密整流 一般整流电路通常用于需要通过整流获得某恒定直流电压的场合,如电子线路的控制电源等。通常在这种应用场合下不需计较整流输出端的波形,而只关心滤波后获得的直流电压的大小。而精密整流常用作信号变换,因而除了相位关系的改变外,主要关心整流输出波形与输入波形的相符程度,任何微小的畸变都会影响精密整流的性能。下图是该部分电路图,LM324的A运放作用是信号放大,LM324的B运放的作用是提供一个电压基准源。LM324的C、D两个运放所完成的是精密整流功能。NE5532P的1号运放的作用也是信号放大。 44 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 图4-7 精密整流电路 4.2.8 旁路 当软起动过程结束,又不需要进行其他控制(如轻载节能)时,晶闸管调压模块不需要工作了,可用旁路接触器将其短路,使电动机直接投入电网运行,以避免不必要的电能损耗。控制部分电路图如下图所示,控制器P1.6输出高电平时,继电器RELAY输出触点吸合,旁路接触器的线圈通电,接触器输出触点吸合,从而达到旁路的作用。 图4-8 旁路控制电路 45 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 4.3 移相缺相保护部分 这部分涉及到同步、移相、保护、驱动等,其中移相和缺相检测部分由FPGA来完成。 同步 移相和缺6路脉冲驱动相保护过流 过热 保护 缺相信号 至控制部分 图4-9 移相保护驱动部分结构图 4.3.1 同步 Ua,Ub,Uc三相的同步信号是通过3个电压传感器获取的,如下图所示,图中DYCGQ是电压传感器。 图4-10 电压传感器获取同步信号 电压传感器输出电压A接入如图2.5所示电路中,进行过零比较输出方波,经光耦隔离给FPGA作为同步信号。B、C两相同A相。 过零比较电路图分析:A 相信号经Rtb1,Rtb2,Rtb3与Dtb1,Dtb2削波后经Rtb5输入电压比较器的负输入端。A为正半波时,比较器输出零电 46 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 平,负半波时输出高电平,从而将正弦波变換为方波输出。A输入范围为可根据Rtb1,Rtb2,Rtb3大小而定。电容器C1起过滤A相信号中的过零点附近的毛刺,其数值可依实际波形的毛刺大小而定。需要注意的是滤波会产生一定的相位滞后。在本文中Rtb1,Rtb2取10K,Rtb3取5.1K,Rtb5取1K。比较器输出后经光耦隔离给FPGA。由此可看出给FPGA的同步方波信号正好与A相的相位相差180度。 图4-11 过零比较 4.3.2 移相 移相有两种方式,一种是模拟方式,另一种是数字方式。由于模拟方式有很多缺点,如移相误差大、三相触发不对称、抗干扰性能低等。而采用数字方式移相可以解决模拟方式不能克服的缺点。本文采用的是数字方式移相,利用FPGA设计移相模块。 这部分由FPGA来完成,FPGA选用Actel公司Fusion 系列AFS600型号,该系列的FPGA 内部集成了模数转换模块单元。结构图如下图所示,该部分主要包括锁相环、模数转换、缺项检测、同步信号处理、移相及脉冲形成和调制,以下有每部分的详细介绍。软件环境是Actel的旗舰FPGA开发软件Libero 集成设计环境 (IDE),它是Actel针对其所有FPGA设计的一套完备的软件工具套件。 47 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 40M系统时钟晶振频率 输8MACM时钟锁相环入zhp移相计时、脉锁定宽计时及正向100K10M100分频及脉冲形成mk锁 相 缺项检测缺相信号 正向触发调制脉冲二次同同步信同步信号锁同步信号步定并取反号处理信 号 负向触发调制脉冲 zhp输触发电角度入输转化Yx Xb处入结果zhn移相计时、脉锁理ADCzhn相位补偿Yx定宽计时及负向Xb及脉冲形成Mkmk锁脉冲宽度Mkmk相 图4-12 移相缺相检测 [34]一、ACTEL FUSION FPGA 简介 众所周知,FPGA作为数字系统设计中的核心技术,已经越来越显示出其重要性,FPGA的应用的场合也是越来越多,而且随着系统规模的不断扩大,高度的集成化成为了越来越多人的需求,同时也对FPGA提出了更高的要求:单芯片、安全性、可靠性、低功耗„„ Actel 在2002年率先推出了基于Flash架构的FPGA,满足了上述人们对FPGA的要求,该技术将会引领FPGA进入一个新的领域。Actel从第一代Flash FPGA——ProASIC到现在的第三代Flash FPGA——ProASIC3,每一代都是一个质的飞越,无论从性能上还是在功能上都是不断完善的过程,特别是ProASIC3和ProASIC3E在FPGA市场的成功地广泛应用,足显独特技术的优势。 Actel Fusion 系列器件是世界上第一款也是唯一一款具有模拟功能的Flash架构的FPGA,在成功的第三代Flash FPGA 架构的基础上结合先进的Flash FPGA 数字技术和模拟技术,融合了FPGA数字内核、ADC、Flash [35]存储器、模拟IO、RTC等数字和模拟器件部分。Fusion器件内部具有 48 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 2Mbit到8Mbit不等的用户可用Fusion Memory;30个通道、最高12bit精度、最高600ksps采样率的ADC;片内100MHz的RC振荡器与PLL(锁相环)一起共同为FPGA提供时钟,节省外部时钟的开销;Fusion 内部40bits的RTC除了支持典型的RTC应用(如看门狗定时器、时钟等)之外,还可以控制片内1.5V的电压调整器以实现低功耗的睡眠和唤醒模式。这些特点极大地提高了单芯片的功能,简化了整个系统设计,从而大幅度减少了电路板面积和系统总成本。 Actel Fusion 芯片为众多应用领域带来可编程的优势,这些领域包括电源管理、智能电池充电、时钟生成与管理以及电机控制等,而这些应用到目前为止只能由价格高昂且耗费空间的分立模拟元件或混合信号ASIC的 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 来实现。但Actel Fusion 可编程系统芯片将各种功能集成到单个器件上,给系统开发引入许多崭新的功能,并让现场升级变得非常灵活。此外,当Actel Fusion 器件结合CortexM1、ARM7、8051等软CPU核时,还可以实现真正的SOC系统。 Flash 架构的Fusion 器件支持上电即可运行,掉电非易失,无需配置芯片等。采用128位FlashLock加密技术和业界领先的AES加密算法,确保我们设计的安全可靠。Actel Fusion 器件是迄今为止最全面的单芯片模拟与数字可编程逻辑系统的解决方案。 Actel所有Flash架构的FPGA都具有相似的特点,但是每个系列又有各自独特的性格,使得它们相互补充,相互促进,为各行各业提供了独一无二的选择。 (1)先进的Flash开关 Actel的FPGA是基于Flash技术的FPGA,晶体管受7层金属保护,采用130nm工艺,每个Flash开关仅由两个晶体管组成:一个用于对此开关进行擦除、编程、校验等操作,另一个用于开关的选通。具有占用硅片面积小、低阻抗和容性负载、非易失性等特点。其实对FPGA进行编程其实就是对这些开关进行控制,实现连线的过程。 (2)单芯片 基于Flash架构的FPGA掉电非易失性,一旦被编程,配置数据就成为FPGA结构的一个固有部分,系统上电时不需要通过外部的配置芯片加载数据(这与基于SRAM的FPGA不同)。因此,基于Flash技术的FPGA不需要E2PROM或MCU等器件来配置FPGA。除此之外,Fusion还集成了12位AD、Flash Memory、模拟I/O、RTC等模拟部分,这是世界上首创的模 49 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 数混合技术的FPGA,不仅降低了外围器件的费用、节省了印刷电路板(PCB)的空间,同时又提高了系统的安全性和可靠性。 (3)高度安全性 Actel Flash架构的FPGA的安全性体现在3个层次的保护: 第一层属于物理层的保护,Actel第三代Flash架构的FPGA的晶体管受7层金属的保护,去除金属层的难度非常的大,很难实现反向 工程 路基工程安全技术交底工程项目施工成本控制工程量增项单年度零星工程技术标正投影法基本原理 (通过一定的手段去除金属层后看到内部晶体管的开关状态从而重现设计);Flash FPGA非易失性,不需要外部的配置芯片,单芯片,上电即可运行,不需要担心在配置过程中数据流被截取。 第二层是Flash Lock的加密技术,顾名思义是对Flash单元的锁定作用,它是128位的加密算法,通过将密钥下载到芯片中进行加密来防止对芯片进行非授权的操作,经过加密的芯片,如果没有密钥就无法对芯片进行编程、擦除、校验等。 第三层是采用国际上标准的AES加密算法对编程文件进行加密的技术,AES是遵守美国联邦信息处理标准(FIPS)文献192的一种加密算法,美国政府机构使用它来保护敏感和公开信息。该算法可包含大约3.4×1038个128位密钥,早期DES标准中密钥的大小为56位,大约可提供7.2×1016个密钥,2000年,国家标准技术研究学院(NIST)采用了AES标准来替代1977 DES标准,大大提高了加密的可靠性。国家标准技术研究学院(NIST)通过举例来说明由AES提供的理论安全性,假设一个计算系统可以在一秒钟内破解一个56位的DES密钥,那么要破解一个128位AES密钥可能要花费大约149万亿年,而据资料显示宇宙的年龄小于200亿年,可想而知其安全性的可靠程度。 Actel Flash FPGA基于上述三重保护,使用户宝贵的IP可以受到很好的保护,也让远程ISP变成可能,它将为可编程逻辑设计提供了最可靠的安全性。 (4)高可靠性 基于SRAM技术的晶体管不可避免存在两种错误:软错误(Soft Error)和固件错误(Firm Error),这是由于大气中的高能粒子(中子、粒子)轰击到SRAM的晶体管引起的,由于其带有较高的能量,在碰撞某个晶体管过程中有可能改变晶体管的状态。 所谓软错误主要是针对SRAM的存储器来说的,例如:SRAM、DRAM等,当高能粒子轰击到SRAM的数据存储器,会使得数据状态发生反转,由原 50 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 来的0变为1或者1变为0 ,导致数据的暂时错误,当重新写入数据后,该错误又会消失,这是可恢复的错误,可以通过FPGA内置的错误检测和校正(EDAC)电路来减少这些错误的发生。 所谓固件错误是指当SRAM FPGA配置单元或者布线结构遭受到大气中高能粒子的轰击,而发生了逻辑功能的改变或者连线的错误现象,最终的后果将会导致一次系统地彻底失败,这种错误将会一直存在直到被检查修改为止。 Actel Flash架构的FPGA对于固件错误有很好的免疫作用,这是由于它独特的Flash技术所决定的,如果要改变一个Flash工艺的晶体管状态需要一定的高压,而一般的高能粒子是无法达到这个要求的,所以几乎不存在这种威胁。 (4)低功耗 FPGA的功耗一般有4种:上电功耗、配置功耗、静态功耗和动态功耗,一般的FPGA都具有这四种功耗,而Actel Flash FPGA由于上电不需要一个很大的启动电流,并且掉电非易失,不需要配置过程,所以只有静态功耗和动态功耗,没有上电功耗和配置功耗。 基于Flash技术的FPGA每个可编程的开关都是由2个晶体管构成,而基于SRAM技术的FPGA每个可编程开关是由6个晶体管构成,所以单纯从开关的功耗上分析,Flash FPGA的开关消耗功耗要比SRAM FPGA低很多。 Fusion系列支持低功耗的模式,芯片本身可提供一个1.5 V电压供内核使用,并且可以通过内部的RTC以及FPGA的逻辑实现掉电和唤醒的功能,以达到降低功耗的目的;Actel IGLOO和IGLOO+系列的FPGA更是为手持设备的应用而设计的,其独特的Flash*Freeze模式可以将静态功耗最低降至5uW,并能保存RAM的数据。 Actel Flash FPGA无论是静态功耗还是动态功耗都会比竞争对手低很多,可以应用于对功耗敏感、需要低功耗的场合,例如:PDA、游戏机等。 (5)内可嵌高性能处理器 嵌入式系统中除了具有一颗高性能的FPGA以外,往往需要有一个处理器来处理一些算法或系统级的任务,一般的做法是通过一个8051或ARM芯片加上一个FPGA来完成,但是在某些场合对体积、成本有苛刻要求的时候,该方法的缺陷就被暴露无疑,而Actel针对该问题提供了单芯片的SOC解决方案,将处理器嵌入到FPGA内部,实现单芯片的解决方案。时下Actel可以提供8位的CoreABC、Core8051、Core8051s处理器,可以提供 51 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 32位的ARM7、CortexM1处理器,这些都是软核,其外设可以由用户裁减,打造独一无二的用户处理器芯片,另外Actel将会在2009年提供性能更优、功能更强大的CortexM3硬核处理器,不占用FPGA的逻辑资源,完美地将FPGA和ARM结合在了单个芯片上,这将成为FPGA领域跨时代的里程碑。 (6)其它特点 除此之外,Actel的FPGA还具有低成本(最低0.46美金)、上电即行、小体积等特点,这些特点可以根据用户实际的情况来选择,不能做得最好,但是可以做得更好。 二、Libero IDE 软件简介 Actel的旗舰FPGA开发软件Libero 集成设计环境 (IDE) 是Actel针对其所有FPGA设计的一套完备的软件工具套件。Libero IDE能快速有效地管理整个设计流程,从设计、综合和仿真,到基础规划、布局布线、时序约束和分析、功率分析以及程序文件生成。Libero的第二代智能设计工具SmartDesign为轻松创建完整的、基于简单和复杂处理器的系统级芯片 (SoC) 设计提供了有效的方法。要了解有关SmartDesign的更多信息,请访问SmartDesign 网页(英文)。Libero IDE针对Actel的低功耗 Flash FPGA系列产品 (包括IGLOO、ProASIC3L及低功耗FPGA系列的最新成员IGLOO PLUS) 提供全面的功率优化和分析工具。 产品特点Libero IDE 提供来自Mentor Graphics、SynaptiCAD和 Synplicity等领先EDA厂商的最新及最佳FPGA开发工具。这些工具与Actel开发的工具相结合,可让用户快速轻松地管理Actel FPGA设计。Libero IDE具有直观的用户界面及功能强大的设计管理器,可引导用户完成设计过程、组织设计文件及实现不同开发工具间的无缝衔接交换。 Libero IDE 软件特点: *.功能强大的项目和设计流程管理 *.整套集成设计输入工具和设计方法:SmartDesign图形化SoC设计生成功能,能够自动抽象出HDL代码内核目录和配置功能 *.HDL和HDL模板 *.“用户定义构件”生成功能,实现设计重用 *.ViewDraw原理图捕捉工具 *.Actel 提供的各种单元库Synplify/Synplify Pro AE综合工具全 52 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 面优化 Actel FPGA器件的性能和面积利用率Synplify DSP AE在Simulink环境中实现高层DSP优化 *.测试平台生成功能,包括通过WaveFormer Lite AE实现模拟激励。还可用SynaptiCad的高级模拟激励功能ModelSimVHDL或Verilog代码综合和布局后的行为仿真功能Designer工具提供的物理设计实现、基础规划、物理约束以及布局功能 *.时序和功率驱动的布局布线 *.针对时序约束管理和分析的SmartTime环境SmartPower针对实际或"假设"应用场景提供全面的功率分析 *.具有与FlashPro和Silicon Sculptor编程软件的接口 *.针对Actel闪存设计的Identify AE调试软件 *.针对Actel反熔丝设计的Silicon Explorer调试软件 *.支持Windows和Linux操作系统 三、锁相环(静态PLL) 功能结构图如下图所示。 40M系统时钟晶振频率 8MACM时钟锁相环 10M100分频 图4-13 锁相环部分功能结构图 在Libero IDE 软件SmartDesign 中画布中的原理图如下图所示。 图4-14 锁相环在SmartDesign 中画布中的原理图 静态PLL的内部结构图如图4所示,主要由以下几个部分组成: ? PLL 53 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 ? 3个相位选择器 ? 6个可编程延时和一个固定延时模块 ? 5个可编程的分频器 图4-15 PLL的内部结构图 其中: CLKA 为参考时钟,本文中为48MHz,选择外部晶振频率,下拉选择栏选择External I/O。 PWRDWN 为掉电使能,本文中将其接高电平。 OADIVRST 为分频器复位信号,本文中将其接高电平。 GLA 为全局网络A,设置为40M,作为系统时钟。 GLB 为全局网络B,设置为8M,作为模拟配置多路选择器(ACM)时钟。 GLB 为全局网络B,设置为10M,作为计数器计数时钟。 LOCK 为有无时钟输出锁定,当PLL有全局网络有输出时,LOCK输出为高电平,否则为低电平。 [24]其他引脚请参阅用户说明 关于书的成语关于读书的排比句社区图书漂流公约怎么写关于读书的小报汉书pdf (Actel Fusion? Handbook)。 [23]四、模数转换 模数转换ADC部分的功能结构图如下图所示。 54 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 触发电角度 转化Yx Xb 结果 ADC相位补偿Yx Xb Mk 脉冲宽度Mk 图4-16 ADC部分功能结构图 Fusion FPGA 模拟模块宏单元已集成了模数转换模块(ADC)。模拟模块宏单元可以通过SmartGen 软件来实例化。Fusion模拟系统的核心是一个可编程的逐次逼近型(SAR)模数转换器ADC。ADC可以支持8位、十位和12位操作模式,并且通过一个32:1的多路选择器(MUX)实现32个采样通道输入,所有通道分时采样,共享采样宽度,在8位操作模式下,ADC单个通道的采样率最高可达600ksps,如果是多个通道同时使用则平分采样速率。 本文通过Analog System Builder 中构建电压模块,并通过ADC转换外部模拟信号位数字信号供FPGA系统使用,同时将配置参数存放在 FlashMemory 中固定区域(可用SmartGen 软件来完成),在上电的时候通过FlashMemory 来初始化模拟模块。 图4-17 Analog System Builder 界面 其中,AV0、AV1和AV2的模拟量输入最大值设置为4V,采样时间为10us。系统时钟填40M,转换精度填12bit。 Advanced Options 里的设置如图4-18所示。 55 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 图4-18 Advanced Analog System Options 界面 设置完成后,点击图4-17 Analog System Builder 界面中的“Generate”按钮,生成名为“ADC12”的模拟模块宏单元。 通过 Flash Memory System 生成模拟模块的初始化配置单元,在上电的时候通过 Flash Memory 来初始化模拟模块的寄存器,如图4-19所示,只要将上面建立的ADC12模拟模块导入到Flash Memory 即可,并点击“Generate”按钮生成名为“FMS”的宏单元。 图4-19 Flash Memory 中模拟模块初始化模块配置界面 56 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 最后编写顶层VHDL文件,将ADC和FMS建立连接,并对模数转换结果进行处理,得到 yxout , xbout ,mkout 三个16位数字量输出,反映在功能结构图上是yx,xb和mk三个数字量。VHDL文件的实体名为 ADC_YXXBMK,编写完成后保存为ADC_YXXBMK.vhd 文件。ADC_YXXBMK.vhd 文件内容见附录二。 五、移相 本文中移相触发脉冲采用双窄脉冲。移相、相位补偿和脉宽延时均采用计数器计数延时的方式完成的。计数器均采用16位计数器,计数器时钟频率为100KHz,100KHz频率的周期是10us,计满一个工频周期20ms需计数2000次,转换为十六进制为07D0。下图为移相部分功能结构图,其中yx为移相数字电角度,xb为相位补偿数字电角度,mk为脉冲宽度数字电角度,zhp为输出正向触发脉冲时计数器的计数值(zhp=yx+xb+1000),zhn为输出负向触发脉冲时计数器的计数值(zhn=yx+xb)。zhp,mk做为正向移相计数器组的输入。zhn,mk做为负向移相计数器组的输入。正向负向的脉冲生成时单独完成的,互不影响。本文产生的触发脉冲是双脉冲。 输入zhp移相计时、脉锁宽计时及正向定及脉冲形成mk锁相 正向触发调制脉冲二次同步信同同步信号锁步号处理定并取反信号 负向触发调制脉冲 输zhp入输Yx Xb处入zhn理移相计时、脉锁zhn定宽计时及负向及脉冲形成mk锁Mkmk相 图4-20 移相部分功能框图 57 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 4.3.3 缺相保护 缺相保护有两种方式实现,一种是模拟方式,另一种是数字方式。为了减少硬件电路和电路板空间,以及数字方式缺相检测灵敏度高的优点,本文采用数字方式实现缺相保护功能。下面对缺相分析及两种缺相检测保护方式进行介绍。 一、缺相分析 若电机已经处于运行状态时,由于某种原因而使B相或C相缺相,则电机运行状态可以用图2.9所示的矢量图来表示。从图2.9可以看出:当电动机正处于运行时,若缺C相,则电动机负载中性点将由O点移位至Oc,由对称分量法可知,A ,B两相负载相电压仅为线电压的一半,即,,UUU,,/2。且C相电流等于零。若缺B相,则电动机负载中性点将由ABL O点移位至O,导致A ,C两相负载相电压仅为线电压的一半,即B ,,UUU,,/2.且B相电流等于零。当电动机在轻载状态下运行时,即使ACL B相或C相缺相电动机仍然继续运行,处于不对称运行状态。因此,为消除电动机不对称运行带来的各种隐患,软起动器必须具备缺相检测能力。 (a)电动机正常 (b)C相缺相 (b)B相缺相 图4-21 电动机缺相运行状态分析 二、模拟方式实现缺相保护功能 下面是一种模拟方式实现缺相检测及保护功能电路,采取同时监测三相电源的策略以判断缺项故障,每相监测电路如图4-22所示。 58 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 图4-22 缺相检测与保护电路图 缺相检测电路在电源缺相故障发生后的三个周期内即可产生动作信号。各相的缺相检测电路的输出端相或后,作为缺相故障信号送给保护处理。 三、数字方式实现缺相保护功能 本文采用数字方式实现缺相保护功能,下面是数字缺相保护设计介绍。 缺相检测原理是检测同步信号的下降沿,从下降沿开始计时,如果计到5/4周期同步信号未出现高电平,输出缺相信号。计数器采用12位,计数器时钟频率为100KHz,100KHz频率的周期是10us,计满工频一个周期20ms需计数2000次,转换为十六进制为7D0,计数5/4周期时的十六进制计数值为9C4。下图为缺相检测SmartDesign画布原理图。SYN为同步信号,clr为截止复位信号,clk系统时钟,clk100k为计数器时钟频率,que为缺相信号输出,缺相时输出为高电平“1”,否则为低电平“0”。 图4-23 缺相检测SmartDesign画布原理图 59 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 4.3.3 SmartDesign画布原理图及ModelSim仿真 对上两节的FPGA设计软件平台上Project Manager中Design Explorer中文件树结构如图4-22所示。YXCF3ADC是顶层文件,它包含有PLL、分频、ADC、缺相QX3和三相移相脉冲的生成模块(yxcf3)。顶层文件YXCF3ADC内部结构画布原理图如图4-23所示。 图4-24 Project Manager中Design Explorer 60 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 图4-25 Project Manager中SmartDesign画布原理图 对以上设计进行仿真,该软件平台内部集成仿真环境,即ModelSim软件仿真平台。仿真结构如下各图所示。其中,clr为截止复位信号输入,clk为时钟信号,yx为移相数字量,xb为相位补偿数字量,mk为脉冲宽度数字量,SYNA、SYNB和SYNC分别为A、B、C三相的同步信号,QXBH为缺相保护信号输出,AP、BP、CP为A、B、C三相的正向触发脉冲,AN、BN、CN为A、B、C三相的负向触发脉冲。 对于yx、xb、mk三个数字量值的大小对应于时间的长短关系满足0到07D0线性对应0到20ms。07D0对应一个工频周期,即20ms。03E8对应半个工频周期,即10ms。 61 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 图4-26 移相触发仿真波形 图4-27 相位补偿(xb)改变处仿真局部放大 62 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 图4-28 缺相处仿真局部放大 图4-29 脉宽(mk)改变处仿真局部放大 63 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 图4-30 移相信号(yx)改变处仿真局部放大4 4.4 驱动 FPGA输出的触发脉冲必须经过隔离和放大后触发晶闸管,下图是A+路触发脉冲的驱动电路图。其余-A,+B,-B,+C,-C五路脉冲的驱动电路图同+A部分。 图4-31 触发脉冲隔离放大驱动电路图 4.5 过流过热保护 电流传感器输出的电流反馈信号是交流的,需整流变成直流信号,整 64 辽宁科技大学硕士论文 第四章 软起动控制器硬件设计 流部分是由精密整流电路来完成。在图4-31中,整流输出电流有效值给电压比较器比较LM324glA,调节电位器Rpgl可改变过流动作值,过流保护输出信号为“GL”,“GL”与控制部分的保护信号输入相连,端子“DR GND”与温度传感器连接,温度传感器与LM317组成温度的电压输出电路,温度输出给电压比较器NE5532A,调节电位器Rpgr可改变过热动作值,过热保护输出信号为“GR”,“GR”与控制部分的保护信号输入相连。过流过热信号共同输出给光耦OPTglgr的输入端,光耦OPTglgr的输出端为截止信号,截止信号与FPGA的clr信号管脚相连,起到快速关断脉冲输出保护作用。 图4-32 精密整流电路 图4-33 过流过热保护电路 65 辽宁科技大学硕士论文 第五章 系统软件设计 第五章 系统软件设计 一个控制系统要正常工作,仅有硬件部分是不够的。在微机实时控制系统中,软件设计是不可缺少的一部分。结合硬件的设计,有软件来定义微机控制系统的功能,是现代实时控制的规律。微机控制系统的软件就是指控制整个系统所必须具备的一系列程序的集合。本软起动器的软件包括以下几个部分:主程序,系统初始化,定时器中断服务程序,阶段控制子程序,电压斜坡起动程序、限流调节程序,输入、输出处理程序等。 下面是部分程序流程图。 开始 关中断 系统初始化 读参数 开中断 结束 图5-1 主程序流程图 66 辽宁科技大学硕士论文 第五章 系统软件设计 系统初始化子程序 IO口 初始化 串口初始化 定时器初始化 寄存器初始化 初始状态显示 返回 图5-2 系统初始化子程序流程图 定时器中断服务程序 键扫描、处理 显示 执行任务 中断返回 图5-3 定时器中断服务程序流程图 67 辽宁科技大学硕士论文 第五章 系统软件设计 键扫描、处理子程序 是否按键 Y 判断键值 键指令处理 N参数改变 Y 参数存储 N 返回 图5-4 键扫描、处理子程序流程图 68 辽宁科技大学硕士论文 第五章 系统软件设计 键指令处理子程序 是否按下设置键N N是否按下起动键 YY 是否已经起动 N是否按下停止键 设置处理YNY “起动”寄存是否按下切换键器置“0”是否已经停止 “起动”寄存YNY器置“1” “切换”寄存“停止”寄存器取反器置“0” “停止”寄存器置“1” N 返回 图5-4 键指令处理子程序流程图 69 辽宁科技大学硕士论文 第六章 总结 第六章 总结与展望 6.1 总结 本文对交流异步电动机软起动控制器的主电路进行了分析;对三相晶闸管调压电路进行分析;分析晶闸管的触发角与功率因数角之间的关系;异步电动机功率因数角的变化规律;功率因数角对晶闸管调压电路输出电压的影响。 用PSIM软件搭建交流异步电动机软起动控制器系统模型,对其进行限流软起动仿真硬,并对仿真结果进行了分析。 硬件上设计了控制部分和移相保护驱动部分,控制部分包括微控制器、ADC、DAC及键盘显示的设计,移相缺相保护部分采用了FPGA设计,并对进行了功能仿真。 6.2 展望 目前,电动机软起动器正朝着更加智能化和多元化的角度发展。从当前应用的角度来看,电动机软起动器的主要形式是降压软起动和限流软起动。以后转矩控制起动方式将成为电动机软起动的一种主要起动方式。从控制策略来看,简单的PI控制由于其控制参数固定带来的局限性,必将被自学习的控制方法所代替,如模糊自适应控制,神经元自适应控制等,此类控制算法由于具有非常强的自适应能力,能够满足在各种不同的条件下的控制要求。同时这也要求计算机处理能力和处理速度也要提高。现在的RS232通信能力受到通信距离短的限制,再加上它的抗干扰性能不高,也将被其他总线所替代,其中CAN总线由于其通信距离长,抗干扰性能好,将成为未来通信接口的发展方向。电动机软起动器的容量也将越来越大,而且它将在大功率电动机的工作领域发挥更大的作用。 从长远来看,变频软起动将成为软起动的主流。这是因为变频软起动可以在限流,也就是能在起动电流不超过额定电流的情况下,能获得很大的起动转矩,完成包括软停车在内的各种起动功能。 70 辽宁科技大学硕士论文 参考文献 参考文献 [1] 中国标准出版社编,中国强制性国家标准汇编(电工卷3),中国标准出版社,1994 [2]何报杏.新颖的电机控制设备—软起动器.电气时代,2000(3): 26-26, 29 [3] 赵凯岐,等.晶闸管控制的感应电机中提高起动转矩的一种新策略.中国电机工程学报 [4] 刘志星. 采用分级变频的高转矩软起动控制器的研究.长沙:湖南大学,2003年 [5] 高越农. 迈向高压软起动的三个途径.电气传动自动化,2004 26(2): 61~62 [6] Janardhana Iyengar R S, Sastry V V. Fuzzy Logic Based Soft-start for Induction Motor Drives. IAS '95(The Thirtieth Industry Applications Conference Annual Meeting)[A],1995(1): 121~128 [7] Sastry V V, et al. Optimal soft starting of voltage-controller-fed IM drive based on voltage across thyristor.IEEE Transaction on Power Electronics, 1997, 12(6):1041~1051 [8] 孙晓云,刘东辉.智能型异步电机固态节能起动器中的研制.微电机,1998,31(5): 21~24 [9] 厉无咎.智能化软起动器.低压电器,2000(1): 16~19 [10] 高越农.软起动装置的初级智能化.自动化博览,2002(1): 43~44 [11] 孙晓云,刘东辉,盛剑霓.模糊算法在异步机固态节能起动器中的应用[J].电工电能新技术,1999,18(3): 64~67 [12] 王尔智,祖莉,马少华.模糊控制理论在电机恒流软起动中的应用.沈阳工业大学学报,2001,23(1):22~24 [13] 孙茂松,郭建波.基于模糊控制的感应电机恒流软起动.微计算机信息,2002,18(8): 31~33 [14] 吕广强.基于最小电流模糊控制的电机软起动.电力电子技术,2003, 37(5):56~58 [15] 彭冲.井下三相异步电动机软起动控制与综合保护系统的研究.太原: 太原理工大学, 1999年 [16] 黄俊,王兆安.电力电子变流技术(第3版).北京:机械工业出版社,1993:98~110 71 辽宁科技大学硕士论文 参考文献 [17] 陈伯时,陈敏逊.交流调速系统.北京:机械工业出版社,1998: 16~31 [18] 韦忠朝,等.交流电机软起动与节能控制中的数字触发系统.电力电子 技术,2001,35(6):1~3 [19] 陈和权.电机软起动器起动时间的计算.工矿自动化,2002(2): 42~43 [20] 王旭光,卫永琴.软起动器土电路工作过程的分析.山东科技人学学 报,2003,22(3): 60~61 [21] 贺益康.交流电机调速系统计算机仿真.杭州:浙江大学出版社, 1993:143~198 [22] G.Zenginobuz, I.Cadirci, M.Ermis and C.Barlak, "Soft Starting of Large Induction Motor at Constant Current With Minimized Starting Torque Pulsations",Industry Applications Conference, 2000, p1593-1604 [23] 范光辉.软启动器技术及其应用.南钢科技,2002年第四期 ?[24] Actel Corporation. Actel Fusion Handbook. Part Number 51700092-011-0. Revised November 2007. Actel Corporation [25] 王君艳.交流调速.北京:高等教育出版社,2003.8.9~13 [26] Warachart Sae-kok, Pichit Lumyong. Characteristics Evaluation of 3 Phase Induction Motors Based on an Acceleration Method with Increasing Moment of Inertia Technique. In:SDEMPED, Atlanta, 2003,93~98 [27] 黄操军,陈润恩,王桂英.变流技术基础及应用.北京:中国水利水电 出版社,2001 [28] 赵鑫,蒋亮,齐兆群,李晓凯.VHDL与数字电路设计.北京:机械工 业出版社,2005.4 [29] 王行,李衍.EDA技术入门与提高.西安:西安电子科技大学出版 社.2005.2 [30] 吕广强,纪延超,俞红祥.基于自关断器件的新型软起动.中国电机工 程学报,2004 [31] 岳云涛,韩永萍,王聪.一种基于模糊PID控制的新型中压软起动器. 中小型电机,2005 [32] Lipota.Rowantm Aquantitative analysis of inuction motor performance improvement by SCR voltage control IEEE Trans,1983,IA-19(4): 545一553 [33] V.V.Sastry, M.R.Prassed and Sivakumar, "Optimal Soft starting of Voltage-Controller-Fed IM Drive Based on Voltage Across Thyristor, " IEEE Trans on Power Electronics, vol 12, N0.6, NOVERBER 1997 72 辽宁科技大学硕士论文 参考文献 [34] 周立功.Actel FGPA技术专题讲座:Actel FPGA的特点. 广州:致远电子有限公司2007 [35] 周立功.Actel FPGA 原理与应用——基于Fusion 系列.广州:致远电子有限公司2007 73 辽宁科技大学硕士论文 附录一 附录一 //============================================================== //键盘显示调试程序 //============================================================== #include #include #include #define uchar unsigned char #define uint unsigned int sbit key=P1^7; uchar code dis[33]={0X03,0X9F,0X25,0X0D,0X99,0X49,0X41,0X1F,0X01,0X09,0X11, 0X0D,0X63,0X85,0X61,0X71,0XFF,0X83,0X91,0X49,0X73,0X31, 0XFD,0XE4,0X89,0XD1,0XE5,0XD5,0X61,0X13,0X00,0XFE,0X8F}; /*serial*/ /*共阳极LED段码表0 1 2 3 4 5 6 7 8 9 A B C D E F不亮U H 5 P - c. y h c E 全亮 J */ uchar keytmp,tmp=0; void init_serial() //初始化子程序 { SCON=0X00; //工作方式0 } 74 辽宁科技大学硕士论文 附录一 void keyscan() { uchar a=0x80; for(keytmp=0;keytmp<7;keytmp++) { T1=0; SBUF=~a; TI=0; while(!TI); if(key==0) break; a=a>>1; } } void display(int wz4,wz3,wz2,wz1) //显示子程序 { //T1=1; SBUF=dis[wz1]; TI=0; while(!TI); SBUF=dis[wz2]; TI=0; while(!TI); SBUF=dis[wz3]; TI=0; while(!TI); SBUF=dis[wz4]; TI=0; while(!TI); T1=0; } 75 辽宁科技大学硕士论文 附录一 main() { uint i; for(i=0;i<10000;i++); init_serial(); T1=1; display(0,1,2,3); //显示“0 1 2 3” while(1) { keyscan(); switch(keytmp) //键处理 { case 0: { if(tmp<32) tmp++; else tmp=0; while(!key); T1=1; break; } case 1: { if(tmp>0) tmp--; else tmp=32; while(!key); T1=1; break; } case 2: { 76 辽宁科技大学硕士论文 附录一 if(tmp<32) tmp++; else tmp=0; while(!key); T1=1; break; } case 3: { if(tmp>0) tmp--; else tmp=32; while(!key); T1=1; break; } case 4: { if(tmp>0) tmp--; else tmp=32; while(!key); T1=1; break; } case 5: { if(tmp>0) tmp--; else tmp=32; while(!key); T1=1; break; } case 6: { T0=0; 77 辽宁科技大学硕士论文 附录一 _nop_(); T0=1; break; } default:break; } display(17,10,11,tmp); } } 78 辽宁科技大学硕士论文 附录二 附录二 ------------------------------------------------------------------------------------------- -- ADC_YXXBMK library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ADC_YXXBMK is port( rst : in std_logic; sysclk : in std_logic; acmclk : in std_logic; yx : in std_logic; xb : in std_logic; mk : in std_logic; varef : inout std_logic; --led1,led2,led3,led4 : out std_logic; --led5,led6,led7 : out std_logic; yxout,xbout,mkout : out std_logic_vector(15 downto 0) ); end ADC_YXXBMK; architecture str of ADC_YXXBMK is component ADC12 port( SYS_CLK : in std_logic; SYS_RESET : in std_logic; 79 辽宁科技大学硕士论文 附录二 VAREF : inout std_logic := 'Z'; DATAVALID : out std_logic; AV0 : in std_logic; AV1 : in std_logic; AV2 : in std_logic; ASSC_DONE : out std_logic; ASSC_WAIT : out std_logic; ASSC_CHSAT : out std_logic; ASSC_CHLATD : out std_logic; INIT_ADDR : in std_logic_vector(8 downto 0); INIT_DATA : in std_logic_vector(8 downto 0); INIT_ACM_WEN : in std_logic; INIT_ASSC_WEN : in std_logic; INIT_EV_WEN : in std_logic; INIT_TR_WEN : in std_logic; INIT_DONE : in std_logic; ADC_RESULT : out std_logic_vector(11 downto 0); ADC_CHNUMBER : out std_logic_vector(4 downto 0); ACMCLK : in std_logic ); end component; component FMS port( INIT_CLK : in std_logic; SYS_RESET : in std_logic; INIT_POWER_UP : in std_logic; INIT_DONE : out std_logic; INIT_DATA : out std_logic_vector(8 downto 0); INIT_ADDR : out std_logic_vector(8 downto 0); INIT_ACM_WEN : out std_logic; INIT_ASSC_WEN : out std_logic; INIT_EV_WEN : out std_logic; INIT_TR_WEN : out std_logic 80 辽宁科技大学硕士论文 附录二 ); end component; signal reset : std_logic; signal adc_out,adc_yx,adc_xb,adc_mk : std_logic_vector(11 downto 0); --FMS signal init_done,init_acm_wen,init_assc_wen,init_ev_wen,init_tr_wen : std_logic; signal init_data,init_addr : std_logic_vector(8 downto 0); --ADC12 signal datavalid,ASSC_DONE,ASSC_WAIT,ASSC_CHSAT,ASSC_CHLATD : std_logic; signal adcresult : std_logic_vector(11 downto 0); signal chnumber : std_logic_vector(4 downto 0); begin reset<=not(rst); U1 : FMS port map ( INIT_CLK=>acmclk, SYS_RESET=>reset, INIT_POWER_UP=>'1', INIT_DONE=>init_done, INIT_DATA=>init_data, INIT_ADDR=>init_addr, INIT_ACM_WEN=>init_acm_wen, INIT_ASSC_WEN=>init_assc_wen, 81 辽宁科技大学硕士论文 附录二 INIT_EV_WEN=>init_ev_wen, INIT_TR_WEN=>init_tr_wen ); U2 : ADC12 port map ( SYS_CLK=>sysclk, SYS_RESET=>reset, VAREF=>varef, DATAVALID=>datavalid, AV0=>yx, AV1=>xb, AV2=>mk, ASSC_DONE=>ASSC_DONE, ASSC_WAIT=>ASSC_WAIT, ASSC_CHSAT=>ASSC_CHSAT, ASSC_CHLATD=>ASSC_CHLATD, INIT_ADDR=>init_addr, INIT_DATA=>init_data, INIT_ACM_WEN=>init_acm_wen, INIT_ASSC_WEN=>init_assc_wen, INIT_EV_WEN=>init_ev_wen, INIT_TR_WEN=>init_tr_wen, INIT_DONE=>INIT_DONE, ADC_RESULT=>adcresult, ADC_CHNUMBER=>chnumber, ACMCLK=>acmclk ); process(sysclk,chnumber,datavalid,ASSC_DONE) begin 82 辽宁科技大学硕士论文 附录二 if sysclk='1' then if chnumber="00001" and datavalid='1'and ASSC_DONE='1' then adc_yx<=adcresult; --led5<='0'; else adc_yx<=adc_yx; --led5<='1'; end if; if chnumber="00100" and datavalid='1'and ASSC_DONE='1' then adc_xb<=adcresult; --led6<='0'; else adc_xb<=adc_xb; --led6<='1'; end if; if chnumber="00111" and datavalid='1'and ASSC_DONE='1' then adc_mk<=adcresult; --led7<='0'; else adc_mk<=adc_mk; --led7<='1'; end if; yxout(11 downto 0)<=adc_yx; xbout(11 downto 0)<=adc_xb; mkout(11 downto 0)<=adc_mk; yxout(15 downto 12)<=x"0"; xbout(15 downto 12)<=x"0"; mkout(15 downto 12)<=x"0"; 83 辽宁科技大学硕士论文 附录二 end if; end process; end str; ------------------------------------------------------------------------------------------- 84 辽宁科技大学硕士论文 致谢 致 谢 岁月如白驹过隙,转眼间研究生生活即将结束。回首往昔,难忘老师们的谆谆教导和同学们的热心帮助,点点滴滴都令我铭记于心。 我衷心的感谢我的恩师王仲初教授,论文从撰写到定稿的整个过程,都经过了王老师的悉心指导,更倾注了他辛勤的汗水。他渊博的学识、严谨的治学态度使我在学习过程中受益匪浅,他更以宽仁和慈祥的长者风范教给我做人的准则,这些都将使我终生受益。在此谨向王老师表示崇高的敬意。 同时,对在百忙之中抽出时间和投入精力对我的设计进行评阅的老师们致以诚挚的谢意。 最后,感谢辽宁科技大学和电子与信息工程学院对我的培养和教育。
本文档为【交流异步电动机软起动控制器设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_792768
暂无简介~
格式:doc
大小:950KB
软件:Word
页数:95
分类:生活休闲
上传时间:2017-10-18
浏览量:36