首页 ICC的步骤流程方法

ICC的步骤流程方法

举报
开通vip

ICC的步骤流程方法ICC实验步骤步骤1:在lab1_flow路径下启动ICCshello>icc_shell-gui步骤2:设置searchpath、target_library、link_library:Lib_setup.tcl:#Librarysetuplappendsearch_path./ref/db./ref/tlupsettarget_library"sc_max.db"setlink_library"*"foreachlib{scioram16x128}(lappendlink_library${lib}_max.d...

ICC的步骤流程方法
ICC实验步骤步骤1:在lab1_flow路径下启动ICCshello>icc_shell-gui步骤2:设置searchpath、target_library、link_library:Lib_setup.tcl:#Librarysetuplappendsearch_path./ref/db./ref/tlupsettarget_library"sc_max.db"setlink_library"*"foreachlib{scioram16x128}(lappendlink_library${lib}_max.dbset_min_library${lib}_max.db-min_ver${lib}_min.db}步骤3:为 设计 领导形象设计圆作业设计ao工艺污水处理厂设计附属工程施工组织设计清扫机器人结构设计 创建library。>create_library步骤4:打开创建的libraryo>open_mw_lib步骤5:读入verilog网表。>read_verilog步骤6:确认当前顶层设计。>current_designRISC_CHIP步骤7:将网表中例化的单元与参考库中的单元做连接。>link步骤8:设置TLU+文件。步骤9:读入SDC文件,设置芯片工作环境。步骤10:检查设计的合理性。>set_zero_interconnect_delay_modetrue>report_timing>report_constraints-all_violators>set_zero_interconnect_delay_modefalse步骤11:在设计中添加电源pad和cornercell等physicalonlycellsophy_cells.tcl:#cornercellscreate_cell{cornerllcornerlrcornerulcornerur}pfrelr#pgpadscreate_cell{vss1leftvss1right}pv0icreate_cell{vdd1leftvdd1right}pvdicreate_cell{vss2leftvss2right}pv0acreate_cell{vdd2leftvdd2right}pvda步骤12:读入IO约束文件.。>read_io_constraints步骤13:初始化floorplano>initialize_floorplan(initialize_floorplan-core_utilization0.8-left_io2core30.0-bottom_io2core30.0-right_io2core30.0-top_io2core30.0)步骤14:加入padfiller并生成padringocomplete_die.tclSetPadFillerssetfeedthrupads"pfeed10000pfeed05000pfeed02000"insert_pad_filler-cell"pfeed10000pfeed05000pfeed02000pfeed01000pfeed00500pfeed00200pfeed00100pfeed00050pfeed00010pfeed00005"#InsertPadFillersinsert_pad_filler-cell$feedthrupadsConnectPGnetsprocupdate_pg{}{foreachnet{VDDVDDOVDDQ}{connect_pg_nets-nets$net-ports$net-net_typepower}foreachnet{VSSVSSOVSSQ}{connect_pg_nets-nets$net-ports$net-net_typeground}connect_pg_nets-update_tie_hi_lo}derive_pg_connection-power_netVDD-power_pinVDD-ground_netVSS-ground_pinVSSderive_pg_connection-power_netVDDO-power_pinVDDO-ground_netVSSO-ground_pinVSSOderive_pg_connection-power_netVDDQ-power_pinVDDQ-ground_netVSSQ-ground_pinVSSQupdate_pgCreatePadRingscreate_pad_rings步骤15:自动做floorplan的placement,作为为floorplan的参考。>create_fp_placement步骤16:手动摆放Macro,并完成flooplan。>create_fp_placement-incrementalall#Setpre-definedplacementforthePLLsandCLKMULmacrosset_attribute[all_macro_cells]is_fixedfalseremove_placement-object_typeallsetobj[get_cells{〃I_CLOCK_GEN/I_CLKMUL〃}-all]set_attribute-quiet$objorientationFNset_attribute-quiet$objorigin{1568.9951677.765}set_attribute-quiet$objis_placedtrueset_attribute-quiet$objis_fixedtrueset_attribute-quiet$objis_soft_fixedfalseset_attribute-quiet$objeco_statuseco_resetsetobj[get_cells{〃I_CLOCK_GEN/I_PLL_PCI〃}-all]set_attribute-quiet$objorientationNset_attribute-quiet$objorigin{375.5651677.765}set_attribute-quiet$objis_placedtrueset_attribute-quiet$objis_fixedtrueset_attribute-quiet$objis_soft_fixedfalseset_attribute-quiet$objeco_statuseco_resetsetobj[get_cells{〃I_CLOCK_GEN/I_PLL_SD〃}-all]set_attribute-quiet$objorientationSset_attribute-quiet$objorigin{1778.9951777.765}set_attribute-quiet$objis_placedtrueset_attribute-quiet$objis_fixedtrueset_attribute-quiet$objis_soft_fixedfalseset_attribute-quiet$objeco_statuseco_reset步骤17:创建corerings及powerstraps0步骤18:做电源网络分析(PNA),查看电源规划的IRDrop。#AnalyzeIRdropanalyze_fp_rail-nets{VDDVSS}-voltage_supply1.32-power_budget760-pad_masters{pv0ipvdi步骤19:设置placeblockageo步骤20:布instance的电源和地。>preroute_instances步骤21:布powerrail(给 标准 excel标准偏差excel标准偏差函数exl标准差函数国标检验抽样标准表免费下载红头文件格式标准下载 单元供电的电源和地线)。>preroute_standard_cells步骤22:设置placement的约束。在METAL5METAL6的PG线下面不摆放标准单元。>set_pnet_options-complete{METAL5METAL6}步骤23:布局(placement)oplacement这一步还会做scanchain的reorder,HFS.place_opt>report_timing>report_constraints-all_violators步骤24:时钟树综合优化及布线。clock_optNdr_settings.tcl:remove_routing_rules-alldefine_routing_ruledouble_spacing\-spacings{METAL20.6METAL30.6METAL40.8METAL51.2METAL61.4}set_clock_tree_options-routing_ruledouble_spacing\-layer_list{METAL3METAL4METAL5METAL6}重新定义关于clockuncertainty的定义,去掉其中估计的clockskew的部分。>set_clock_uncertainty0.1[all_clocks]>clock_optCTS之后开始关心holdtime,如果有holdviolation,可以用下面命令修复>clock_opt-fix_hold_all_clocks步骤25:布线(routing)。>route_opt用report_timing-max或-min查看setup\hold是否满足设计要求(或者report_constraints-all_violators)。步骤26:做布线后DRC检查。>verify_drc步骤27:可制造性设计。例如检查天线效应,先load天线效应的rules(由libraryvendor提供)>sourcescripts/cb13_6m_antenna.tcl用report_antenna_rules查看Antenna规则;用report_antenna_ratio查看天线效应 报告 软件系统测试报告下载sgs报告如何下载关于路面塌陷情况报告535n,sgs报告怎么下载竣工报告下载 。“@@@@Totalnetsnotmeetingconstraints=”后面显示了violation的数量。用route_search_repair修复
本文档为【ICC的步骤流程方法】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_704284
暂无简介~
格式:doc
大小:12KB
软件:Word
页数:4
分类:
上传时间:2019-11-18
浏览量:7