首页 抢答器课程设计报告

抢答器课程设计报告

举报
开通vip

抢答器课程设计报告抢答器课程设计报告 数字电子技术课程设计报告 设计题目 四人抢答器 专 业 ****** 班 级 ****** 姓 名 ****** 学 号 ****** 指导教师 ****** 提交日期 ****** 摘要 - 1 - 数字电子技术课程设计报告 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。通过抢答器的数显,灯光和音响等手段指示出第一抢答者。同时还可以设置定时、记分犯规及奖惩等多种功能。 本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再...

抢答器课程设计报告
抢答器课程设计报告 数字电子技术课程设计报告 设计题目 四人抢答器 专 业 ****** 班 级 ****** 姓 名 ****** 学 号 ****** 指导教师 ****** 提交日期 ****** 摘要 - 1 - 数字电子技术课程设计报告 在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。通过抢答器的数显,灯光和音响等手段指示出第一抢答者。同时还可以设置定时、记分犯规及奖惩等多种功能。 本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再抢答,从而实现抢答功能。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过模拟仿真,下载到开发板等工作后数字抢答器成型。 关键字 抢答电路 定时电路 报警电路 时序控制 - 2 - 数字电子技术课程设计报告 目录 摘要??????????????????????????????????????????????????????????????????????????????????????????????????????????1 第1章 概述 ?????????????????????????????????????????????????????????????????????????????????????????3 第2章 课程设计任务及要求 ????????????????????????????????????????????????????????????4 2.1 设计任务 ???????????????????????????????????????????????????????????????????????????????4 ???????????????????????????????????????????????????????????????????????????????4 2.2 设计要求 第3章 系统设计 ??????????????????????????????????????????????????????????????????????????????5 3.1设计 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 ????????????????????????????????????????????????????????????????????????????????5 3.2 系统设计 ?????????????????????????????????????????????????????????????????????????????????5 3.2.1 结构框图及说明 ?????????????????????????????????????????????????????????5 3.2.2 系统原理图及工作原理 ?????????????????????????????????????????????6 3.3单元电路设计????????????????????????????????????????????????????????????????????????????7 第4章 软件仿真 ?????????????????????????????????????????????????????????????????????????????????12 4.1 仿真电路图 ??????????????????????????????????????????????????????????????????????????????12 4.2 仿真过程 ??????????????????????????????????????????????????????????????????????????????????12 4.3 仿真结果 ??????????????????????????????????????????????????????????????????????????????????14 第5章 安装调试 ?????????????????????????????????????????????????????????????????????????????????14 5.1 安装调试过程 ??????????????????????????????????????????????????????????????????????????14 5.2 故障 分析 定性数据统计分析pdf销售业绩分析模板建筑结构震害分析销售进度分析表京东商城竞争战略分析 ??????????????????????????????????????????????????????????????????????????????????15 第6章 心得体会 ??????????????????????????????????????????????????????????????????????????????????16 附录 使用元件清单 ??????????????????????????????????????????????????????????????????????????????18 参考文献?????????????????????????????????????????????????????????????????????????????????????????18 - 3 - 数字电子技术课程设计报告 第1章 概述 随着社会的不断发展,人们的生活水平也在不断的提高人们不断要满足物质上的要求,同时对精神上的追求也在不断的提高,现在的社会是一个娱乐的社会。现在各电视台的活动和课外活动都很多。人们在参加活动的时候都对审判有很多的意见,所以为了比赛的准确和公正,就需要有仪器的辅佐。 智力竞赛抢答器就是一种活泼的有趣的供人们娱乐的游戏装置,通过抢答方式不仅能引起参赛者和观众的兴趣,而且能够提高参赛者的敏捷性,同时我们在参与中能够增加一些生活常识和科学知识,因此,在许多比赛活动中为了准确,公正的进行每一场比赛,特别设置了一台具有显示第一抢答者并锁定、犯规警告等多种功能的抢答器,该设计针对各种要求设计出可供八名选手参赛使用的数字式竞赛抢答器,适用于各大中小电视台,学校等单位举行的智力竞赛。数字电路组成的数字系统工作可靠,精度较高,抗干扰能力很强,所以智力竞赛抢答器的设计就有数字电路来控制。 第2章 课程设计任务及要求 2.1 设计任务 设计一个四位智力竞赛抢答器。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)设计4组参赛的抢答器,每组设置一个抢答按钮。 (2) 给节目主持人设置一个控制开关S,这个开关由主持人控制,进行清零和抢答使能。 (3) 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示。 (4) 抢答器具有抢答计时功能,且一次抢答的时间由主持人设定(如30秒)。 2.2 设计要求 - 4 - 数字电子技术课程设计报告 1.分析设计任务,拟定多种设计方案,根据当时的制作条件,选定其中的一种方案绘制设计系统框图和设计流程。 2.设计各部分单元电路图(或VHDL)描述。计算参数,选定元器件型号、确定数量,提出元件清单。 3.安装、调试硬件电路,或制作以FPGA/CPLD为基础的专用集成电路芯片ASIC。 4.电路测试、分析所要求的各项功能和指标,或对VHDL描述的电路作功能仿真和时序仿真,对ASIC芯片作脱机运行。 5.运行制作的硬件电路,操作各项设计功能是否正常稳定,交验并演示所设计制作的电路装置 6 总结 初级经济法重点总结下载党员个人总结TXt高中句型全总结.doc高中句型全总结.doc理论力学知识点总结pdf 设计中各主要环节的资料,整理打印出规范的设计报告。 第3章 系统设计 3.1设计方案 该电路由抢答模块,锁存模块,定时模块,报警模块组成。 抢答模块:由5个按钮组成,包括4个选手按钮和1个主持人按钮。当主持人按钮为低电平时,进行电路清零;为高电平时,选手开始抢答。当第一个选手抢到题后,发光二极管亮,显示其编号,并且扬声器响。 锁存模块:当第一个选手抢到题后,若再有选手按按钮,不再识别其抢答信号。 定时模块:主持人发出抢答信号后,电路进行自动计时,规定选手抢答在一定时间内完成。 报警模块:与定时模块共同作用,如给定时间内无人抢答,扬声器响应报警,该题作废,进行下一题抢答。 3.2 系统设计 3.2.1 结构框图及说明 分析各项设计要求后,可绘制如下系统原理框图 - 5 - 数字电子技术课程设计报告 组别指示组别编码 组别译码 组别显示 灯 音响定时 音响频率 音响电路 S0 抢答S1 按第一信号鉴别 S2 钮 控制S3 电主路 时间显示 持计时电路 人按J0钮 结构图说明 第一信号鉴别电路是抢答器的关键电路,其任务是鉴别并锁存第一抢答者的信号,这类电路可以选用各种触发器、锁存器构成,也可用VHDL语言语言自己编写。其他模块的功能如前面所述,这里不再重复。 3.2.2 系统原理图及工作原理 U8I0O[7..0]LEDS[7..0]I1U33I[7..0]O0I2Y21,Y22,W21,W22,V21,V22,U21,T21Y21,Y22,W21,W22,V21,V22,U21,T21SW[7..0]T22,U20,R18,N21,N22,M21,M22,L21T22,U20,R18,N21,N22,M21,M22,L21O1u1U2I3u0O2U3I4clrqclkalmu5O3I[3..0]O0I5cpclrq1d1q[3..0]O4O1I6d1q2d2O5catchO2I7d2q3d3O6O3J8S_8Bd3q4d4O7J4B_4Sd4AND4Sch41aJ8B_8Slock U9U10U11U4/ 16/ 256/ 256PXXPXXCLK_BRDclkh[3..0]u7I[3..0]O0enl[3..0]CDIV16CDIV256CDIV256O1soundO2countO3J4B_4S SPEAKERPXXPXX 工作原理: 主持人按钮(Q0)拨向低电平,U1,U2的清零端CLR为低电平,实现电路清零,当主持人按钮拨向高电平,CLR高电平无效,此刻抢答开始,由于Q1 - 6 - 数字电子技术课程设计报告 ~Q4原始状态为高电平,使得四输入与门输出为高电平,cp=‘1’,不能触发。 当第一个人按下抢答按钮,输入低电平,四输入与门电平值由‘1’跳变为‘0’,此刻cp=‘0’,触发U1,使得U1输出端q=‘1’,此后无论其他选手再按按钮,cp=‘0’不变,实现对其他选手的锁存功能,U1输出q也一直保持为1,所以对于U2器件,只有一个脉冲触发,其输出q1~q4仅为s0~s1的第一个状态,在经U3器件进行转换后,由发光二极管输出(点亮第一个抢答选手对应的发光二极管)。而答题计时部分,由U2的输出端alm=‘1’使能U4,进行计时,计时输出由发光二极管显示。当在规定的时间没有答题结束,则蜂鸣器发出报警声。 3.3 单元电路设计 (1). Catch 元件 clrq cp 器件生成所使用的VHDL语言: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity catch is Port(cp : in std_logic; clr : in std_logic; q :out std_logic); end catch; architecture Behavioral of catch is - 7 - 数字电子技术课程设计报告 begin process(cp,clr) begin if clr ='0' then q<='0'; elsif cp'event and cp='0'then q<='1'; end if; end process; end Behavioral; 器件描述: clr:clr=‘0’,清零,且q=‘0’;clr=‘1’,无效。 cp:cp=‘1’,q=‘0’;cp=‘0’,q=‘1’; (2). Lock 元件 clkalm clrq1 d1q2 d2q3 d3q4 d4 器件生成所使用的VHDL语言: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity lock is Port(d1 : in std_logic; d2 : in std_logic; d3 : in std_logic; - 8 - 数字电子技术课程设计报告 d4 : in std_logic; clk : in std_logic; clr : in std_logic; q1 : out std_logic; q2 : out std_logic; q3 : out std_logic; q4 : out std_logic; alm : out std_logic); end lock; architecture Behavioral of lock is begin process(clk) begin if clr='0' then -------低电平有效 q1<='0'; q2<='0'; q3<='0'; q4<='0'; alm<='0'; elsif clk'event and clk='1' then q1<=d1; q2<=d2; q3<=d3; q4<=d4; alm<='1'; end if; end process; end Behavioral; 器件描述: clk:当clk=‘0’时,q1~q4=‘0’,alm=‘0’;当clk=‘1’时, q1<=d1;q2<=d2;q3<=d3;q4<=d4;alm=‘1’; d1~d4:d1~d4=s1~s4; (3). ch41a 元件 - 9 - 数字电子技术课程设计报告 d1q[3..0] d2 d3 d4 器件生成所使用的VHDL语言: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ch41a is Port(d1 : in std_logic; d2 : in std_logic; d3 : in std_logic; d4 : in std_logic; q : out std_logic_vector(3 downto 0)); end ch41a; architecture Behavioral of ch41a is begin process(d1,d2,d3,d4) variable tmp:std_logic_vector(3 downto 0); begin tmp:=d1&d2&d3&d4; case tmp is when "0111"=>q<="0001"; when "1011"=>q<="0010"; when "1101"=>q<="0010"; when "1110"=>q<="0100"; when others=>q<="1111"; end case; end process; end Behavioral; - 10 - 数字电子技术课程设计报告 器件描述: 该器件主要实现d1~d4输入的转换功能,也就是将最原始的抢答输入进行转 换,通过该器件,将原始抢答时刻s1~s4状态输出至发光二极管,也就最终实现 谁先抢答,对应的发光二极管点亮。 (4). Count 元件 clkh[3..0] enl[3..0] sound 器件生成所使用的VHDL语言: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count is Port(clk : in std_logic; en : in std_logic; h : out std_logic_vector(3 downto 0); l : out std_logic_vector(3 downto 0); sound : out std_logic); end count; architecture Behavioral of count is begin process(clk,en) variable hh,ll:std_logic_vector(3 downto 0); begin if clk'event and clk='1' then if en='1' then if ll=0 and hh=0 then sound<='1'; elsif ll=0 then ll:="1001"; hh:=hh-1; else - 11 - 数字电子技术课程设计报告 ll:=ll-1; end if; else sound<='0'; hh:="1001"; ll:="1001"; end if; end if; h<=hh; l<=ll; end process; end Behavioral; 器件描述: 该器件主要是一个计时器件,计时可以由主持人自己设定, h为高位,l为地位,由alm输入到使能端使能,开始计时,当外部来一个时钟脉冲,自减一,当倒计时结束时,sound=‘1’,使得报警器响。 第4章 软件仿真 4.1 仿真电路图 O1 O2 S0O3U1U2u0S1O4U3S2clrqclkalmu5O5I[3..0]O0S3cpclrq1d1q[3..0]O6O1S4d1q2d2O7catchO2d2q3d3O8O3d3q4d4 J4B_4Sd4AND4Sch41a lock U4 CK0clkh[3..0]u74.2 仿真过程 I[3..0]O0enl[3..0]O1soundO2编译无误之后,将以上原理图生成VHDL语言,继而生成VHDTEST文件,将激励 countO3信号输入VHDTEST文件,进行仿真。 J4B_4S - 12 - 数字电子技术课程设计报告 激励信号: s0 <= '0'; s1 <= '1'; s2 <= '1'; s3 <= '1'; s4 <= '1'; wait for 5ns; s0 <= '1'; wait for 2ns; s1 <= '0'; wait for 2ns; s2 <= '0'; wait for 2ns; s3 <= '0'; wait for 2ns; s4 <= '0'; wait for 5ns; s0 <= '0'; s1 <= '1'; s2 <= '1'; s3 <= '1'; s4 <= '1'; wait for 5ns; s0 <= '1'; wait for 2ns; s2 <= '0'; wait for 2ns; s1 <= '0'; wait for 2ns; s3 <= '0'; wait for 2ns; s4 <= '0'; wait for 5ns; s0 <= '0'; s1 <= '1'; s2 <= '1'; s3 <= '1'; s4 <= '1'; wait for 5ns; s0 <= '1'; wait for 2ns; s3 <= '0'; wait for 2ns; s1 <= '0'; - 13 - 数字电子技术课程设计报告 wait for 2ns; s2 <= '0'; wait for 2ns; s4 <= '0'; wait for 5ns; s0 <= '0'; s1 <= '1'; s2 <= '1'; s3 <= '1'; s4 <= '1'; wait for 5ns; s0 <= '1'; wait for 2ns; s4 <= '0'; wait for 2ns; s1 <= '0'; wait for 2ns; s2 <= '0'; wait for 2ns; s3 <= '0'; wait for 5ns; 4.3 仿真结果 仿真波形如下: 0100nsNameValue 0S411S312S213S114S005O406O307O208O109CK0U 经观察检测,仿真结果符合预定要求。 - 14 - 数字电子技术课程设计报告 第5章 安装调试 5.1 安装调试过程 根据要求,下载原理图设计如下 U8I0O[7..0]LEDS[7..0]I1U33I[7..0]O0I2Y21,Y22,W21,W22,V21,V22,U21,T21Y21,Y22,W21,W22,V21,V22,U21,T21SW[7..0]T22,U20,R18,N21,N22,M21,M22,L21T22,U20,R18,N21,N22,M21,M22,L21O1U1U2I3u0O2U3I4clrqclkalmu5O3I[3..0]O0I5cpclrq1d1q[3..0]O4O1I6d1q2d2O5catchO2I7d2q3d3O6O3J8S_8Bd3q4d4O7J4B_4Sd4AND4Sch41aJ8B_8Slock U9U10U11U4/ 16/ 256/ 256PXXPXXCLK_BRDclkh[3..0]u7I[3..0]O0enl[3..0]CDIV16CDIV256CDIV256O1soundO2countO3J4B_4S SPEAKERPXXPXX 经计算,选用两个256分频,一个16分频,即1M分频,获得所需脉冲;将count的sound引脚接蜂鸣器,实现报警;将Ch41a及Count的输出通过J4B _4S接到8个LED上,其中,前4个用来显示抢到题的选手序号,后4个用来显示时间,实现答题倒计时。 5.2 故障分析 下载过程中有以下问题 (1)原理图生成VHDL语言时,出现错误,经检查,原因主要有:部分输出管脚为空;端口未定义属性。 (2)蜂鸣器声音刺耳 经查找资料,这是频率选择不合适的问题, - 15 - 数字电子技术课程设计报告 (3)未答题前,指示灯全亮 程序编写问题,由于疏忽,未输入信号前,ch41a的q[3..0]引脚输出,初始状态设为了“1111”,应设为“0000”,这样,未答题前,前4个LED就全灭了,符合要求。 第6章 心得体会 这次实验总共经历了两周的时间,从功能需求分析到功能时序逻辑分析,从程序的设计到程序的调试,从系统的仿真到系统的调试、下载,最后终于完成了这次具有实际使用价值的实验。 在设计中我运用自己平时学到的一些知识,以及自己在课下所查找的进行设计,刚开始遇到很多困难,包括对VHDL语言的不熟悉,后来一起和同学研究,而一些课程设计中的故障,也请同学帮忙参考一下,最终找到了解决问题的答案。我感觉自己在设计方面提高很大,特别在设计定时电路时由于它的功能有限,但是电路又感觉太庞大了,我就试着能不能用更简便的方法去解决这个问题,对电路的原理反复的研究,最终找到了方法,也进一步对电路得到了深刻的认识和了解,同时对以前所学的知识有了更深刻的认识,并进一步的得到了巩固和提高,充分的做到了理论联系实际,也使我认识到理论与实际的差别,通过该课程设计,不但对抢答器有了较为全面的认识,而且 - 16 - 数字电子技术课程设计报告 相关的知识也有了足够的掌握,我感觉最重要的还是设计思维的形成。更发现自己在平时学习中的缺陷。对以后的学习也有很大的帮助。 两周的课程设计,我所收获的不仅是学习知识方面,更多的是生活方面。我了解到,在我们生活中,应该学会与别人共同分享,分享知识,分享问题,这样更有助于我们的交流,有利于我们共同进步,有利于我们对学习养成良好的兴趣。 最后,我要感谢那些带我们课程设计的老师们。由于我们之前没有接触过VHDL语言,这就给你们带来了很大的麻烦,感谢你们对我们的耐心,感谢你们对我们的鼓励,让我们有信心继续下去,成功走到最后。 - 17 - 数字电子技术课程设计报告 附录: 元件清单 元器件 数量 属性 用途 AND4S 1 系统自带 Ch41a 1 自定义 译码 lock 1 自定义 锁存 Catch 1 自定义 捕捉第一信号 Count 1 自定义 计数定时 J4B _4S 2 系统自带 总线 J8B _8S 1 系统自带 总线 - 18 -
本文档为【抢答器课程设计报告】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_594905
暂无简介~
格式:doc
大小:41KB
软件:Word
页数:20
分类:生活休闲
上传时间:2017-09-17
浏览量:31