首页 EDA课程设计论文

EDA课程设计论文

举报
开通vip

EDA课程设计论文 EDA课程设计论文 电气自动化 专业 08电气 1 班级 设计(实训)题目 控制EDA课程设计 学 生 姓 名 学号 01 指 导 教 师 2010年 9 月 5 日 目录 一课程设计目的 ………………………………………………………………3 二Protel 99se综合设计 ………...

EDA课程设计论文
EDA课程设计 论文 政研论文下载论文大学下载论文大学下载关于长拳的论文浙大论文封面下载 电气自动化 专业 08电气 1 班级 设计(实训)题目 控制EDA课程设计 学 生 姓 名 学号 01 指 导 教 师 2010年 9 月 5 日 目录 一课程设计目的 ………………………………………………………………3 二Protel 99se综合设计 ………………………………………. …………………3 1.电路原理图设计……………………………………………………………….3 2.新建与放置元件 ……………………………………………………………...3 3.元件封装的定义与设定 ……………………………………………………………………………………….4 4.生成网络 关于同志近三年现实表现材料材料类招标技术评分表图表与交易pdf视力表打印pdf用图表说话 pdf ………………………………………………………………………………………………………………6 5.印制路板的设计………………………………………………………………6 5.1.规划电路板 ………………………………………………………….6. 5.2 设置参数……………………………………………………………….6 5.3装入网络表…………………………………………………………….7. 5.4元器件布局……………………………………………………………...7 5.5 自动布线………………………………………………………………..7 5.6 手工调整自动布线……………………………………………………..7 三 实践设计 ……………………………………………………………………...8 1 内容:自动可乐售货机 …………………………………………………8 2.要求 ………………………………………………………………………..8 3.MAX+PLUSii软件选择与论证 …………………………………………..8 4.MAX+plusII软件的使用…………………………………………………..9 4.1文本编辑 ……………………………………………………………...9 4.2原理图编辑…………………………………………………………….14 4.3波形编辑 ………………………………………………………………15 四 心得体会 ………………………………………………………………………18 参考文献 ………………………………………………………………………..19 一 课程设计目的 1. 学习掌握protel ,ewb和muxplus 2设计实用电路的方法,能熟练运用这些软件设计电路 2. 通过本课程设计的学习,复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使我们具有一定的实践操作能力。 二 protel 99 se综合设计 <1>电路原理图设计 进入protel 99se ,在E盘建立一个文件夹,创建一个数据库,执行菜单file/new命令选择schematic document 图标,双击该图标,建立原理图设计文档,执行菜单Design/Options和Tool/Preferences,设置图纸大小A4、捕捉栅格、电气栅格等。 <2>新建与放置元件    到元件库中找出所需的元件,然后用元件管理器的Place按钮将元件放置在工作平面上,按住tap键,对元件属性进行设置,名称,标号。标称值或元件型号以及元件封装,单击ok键即可,再根据元件之间的走线把元件调整好。 对于该原理图中的元件要求新建一个元件,单击design生成 方案 气瓶 现场处置方案 .pdf气瓶 现场处置方案 .doc见习基地管理方案.doc关于群访事件的化解方案建筑工地扬尘治理专项方案下载 库,再单击tools选择新建元件,命名。在新建元件界面的第四象限进行绘制新元件,这里得注意管脚的编号与之后封装的焊盘号要一致最后单击update schematics就可以应用到原理图中了。   自制的光敏电阻 <3>元件封装的定义与设定 所示原理图中要求自制封装scr,microphone,bridge和光敏电阻。这里所需注意的是封装要在topoverly层中。 单击file-new,选择PCB library document主要是要看元件所示管脚,有几个管脚即放置几个焊盘。画完后单击update pcb即可应用,画出的相应封装如下图所示 1 Scr封装 . 2 Microphone封装 3 Bridge的封装 4光敏电阻的封装 <4>完成原理图 各元器件放好后,进行相关的设置后,对于一些自制的封装,回到原理图写入footprint,然后连接电路图 <5>生成网络表 网络表是电路原理图设计和印刷电路板设计之间的桥梁,执行菜单命令Design/Create Netlist可以生成具有元件名、元件封装、参数及元件之间连接关系的网络表。 <6>印制路板的设计 1.执行菜单File/New命令,从框中选择(PCB Document)图标,选择wizards,选取第一个,根据下列要求确定电路板的尺寸及相关设置(使用双层版) 要求: (1) 电路板大小为100mm×150mm. (2) 电源、地线铜膜线的宽度为50mil; (3) 一般布线的宽度为12mil; (4) 布线的线间距离为12mil; (5) 布线拐角模式为45°转角; (6) 元器件排列整齐、面板美观、使用方便。   2.设置参数     执行菜单命令Design/Rules,左键单击Routing按钮,根据设计要求,在规则类(Rules Classes)中设置参数. 选择Width Constraint,对地线线宽进行设置:左键单击Add按钮,进入线宽规则设置界面,首先在Rule Scope区域的Filter Kind选择框中选择Net,然后在Net下拉框中选择GND,再在Rule Attributes区域将Minimum width、Maximum width和Preferred三个输入框的线宽设置为50mil;     电源线宽的设置:在Net下拉框中选择VCC,其他与地线线宽设置相同;     整板线宽设置:在Filter Kind选择框中选择Whole Board,然后将Minimum width,Maximum width和Preferred三个输入框的线宽设置为12mil。   3.装入网络表     执行菜单Design/Load Nets命令,然后在弹出的窗口中单击Browse按钮,再在弹出的窗口中选择电路原理图设计生成的网络表文件(扩展名为Net),若出现错误提示,必须更改错误,如果没有错误,单击Execute。   4.元器件布局 Protel 99 SE既可以进行自动布局也可以进行手工布局,执行菜单命令Tools/Auto Placement/Auto Placer可以自动布局。 5.自动布线    执行菜单命令Auto Routing/All,并在弹出的窗口中单击Route all按钮,程序即对印刷电路板进行自动布线。只要设置有关参数,元件布局合理,自动布线的成功率几乎是100%。   6.手工调整自动布线  经过自动布线,可得PCB版图 三 实践设计 1 内容:自动可乐售货机 用两个发光二极管分别模拟售出面值为3元和5元的可乐,购买者可通过开关选择一种面值的可乐,灯亮时表示可乐售出。用开关分别模拟1元,5元和10元人民币投入,用发光二极管分别代表着回剩余的硬币。 2.要求: 每次只能售出1罐可乐,当所投硬币达到或超过购买者所选面值时,售出1罐可乐,并找回剩余的人民币,回到初始状态;当所投人民币不足面值时,可以通过一个复位键退回所投硬币,回到初始状态。 3.MAX+PLUS II软件 MAX plus II软件是一款高效的、非常灵活的数字电路开发设计软件,它提供了多种输入方法供设计者选用,利用合适的输入方法设计完数字系统之后,设计者可利用逻辑综合工具进行逻辑综合,并可以用仿真器进行软件仿真,使设计者能够尽早发现设计中的错误,缩短设计周期,能直观便捷和操作灵活的原理图输入设计功能,同时还配备了适用于各种需要的元件库。该软件能进行任意层次的数字系统设计,对系统中的任意层次,或任意元件的功能进行精确的时序仿真;通过编译和编程下载,能在FPGA或CPLD上对设计项目随时进行硬件测试验证 ,对器件的了解可使设计者更好的利用器件资源,掌握硬件描述语言可以快速的用VHDL进行设计 4.MAX+plusII软件的使用 1)文本编辑 进入编辑VHDL语言界面,屏幕上用鼠标点击File选项,此时出现子菜单如图所示 由于是输入新文件,故点击子菜单中的NEW项,接着屏幕出现NEW的对话框如图1-1所示 1-1 图 选择第三项,,点击对话框的OK按钮,屏幕将会出现一个无名的编辑窗口,如图1-2所示 1-2 在无名的文本编辑窗口下输完程序后点击File下的Save,在屏幕上会弹出一个对话框, 在FileName中输入文件名,文件名必须跟程序中的工程名一致,文件的扩展名为.VHD,存放在E:下的**(班级及自己的名字必需用英文)文件夹下面。 文本编辑如下: l)代码输入 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity kele is port(m1,m5,m10:in std_logic; t3,t5:in std_logic; reset:in std_logic; clk1:in std_logic; s3,s5:out std_logic; ch:out std_logic_vector(4 downto 0)); end kele; architecture ke_arc of kele is begin process(clk1,m1,m5,m10,t3,t5,reset) variable money:std_logic_vector(4 downto 0); variable a:std_logic; variable cnt:integer range 0 to 60; begin if clk1'event and clk1='1' then if a='1' then if m1='0' then money:=money+1; elsif m5='0' then money:=money+5; elsif m10='0' then money:=money+10; elsif reset='0' then ch<=money; a:='0'; elsif t3='1' and money>2 then ch<=money-3; s3<='1'; a:='0'; elsif t5='1' and money>4 then ch<=money-5; s5<='1'; a:='0'; end if; else if cnt<60 then cnt:=cnt+1; else cnt:=0; money:="00000"; s3<='0'; s5<='0'; ch<="00000"; a:='1'; end if; end if; end if; end process; end ke_arc library ieee; use ieee.std_logic_1164.all; entity ciao is port(a,clk:in std_logic; b:out std_logic); end ciao; architecture c_arc of ciao is signal tmp1:std_logic; begin process(clk,a) variable tmp3,tmp2:std_logic; begin if clk'event and clk='0' then tmp1<=a; tmp2:=tmp1; tmp3:=not tmp2; end if; b<=tmp1 and tmp3 and clk; end process; end c_arc; 2 )原理图编辑 单击图形编辑,单击在菜单栏中选择File New命令或单击工具栏中的 按钮,弹出如图对话框: 选择对话框中的图形编辑,然后点击“OK”按钮双击图纸空白处,或在菜单栏中选择Symbol Enter Symbol ,弹出对话框: 选择自己文件保存的位置,选择ciao,,所示 引入输入和输出引脚,按照输入功能模块的方法,打EnterSymbol对话框,在其中输入“OUTPUT”,单击“OK”,则输入引脚符号显示在图形文件窗口中,按CTRL键,将鼠标放OUTPUT上,按下左键拖动鼠标,在其下创建“OUTPUT“符号的副本。切记在复制过程中不要放松CTRL键,重复步骤引入“INPUT”符号。 编辑如图连线: 5.仿真结果 仿真是EDA 数字电路设计的一项强大功能,它可以帮助设计者模拟真实环境,解决逻辑错误。为了验证本设计的正确性,在MAX+PLUSⅡ集成开发平台下对所编写的代码进行了编译仿真,仿真波形图如图 四 心得体会 刚开学,第一周就是课程设计,对于protel 99se软件,我们上学期刚学过,对于一些操作还是挺熟悉的的,对于此次课程设计,老师又给我我们做了详细的讲解,也向我们演示了一些操作,在画原理图的过程中,对于一些常见的元器件及封装,自己也能基本上不看资料,对于加载网络表出现的错误,自己也能独立去改正,认真的去修改错误,正确生成PCB版图。对于自动可乐售货机的设计,我们需要用到MAX+plusII软件,对于VHDL语言自己也不是很熟悉,自己又拿出以前的课本,复习了一下基本知识,在上课的过程中,对于protel 99se软件也能够熟练的应用,也学到了一些其他的知识,比如说截图,还有对课程设计的流程有了更加深入的了解,毕竟也做了几次课程设计 在上课的过程中,自己也多次练习了画原理图,提高了自己的画图水平,然而对于MAX+plusII软件,自己对相关操作已忘得差不多了,在编辑代码的过程中,对于出现的一些错误,自己很难去找出错误,但当和同学探讨时,就能找出错误的所在,进一步的完成下一步实验,在对电路连接的过程中,查询了以前的课本连接电路的规则,在仿真的过程中,遇到了不少问题,总是仿真不出预想的结果,在经过多次调试后,最终正确仿真出结果 对于本次实验,自己学会了很多,对于一些知识又回顾了一下,对于课程设计的流程,自己也逐渐熟悉,对于我而言,马上面临着毕业设计,通过这次的实验,对自己充满了信心。 参考文献: 1. 《可编程逻辑器件与EDA技术》主编:李景华,杜玉远 2. 《PROTEL 99SE印制电路板设计教程》机械工业出版社 编著 郭勇 董志刚 3. 《VHDL数字电路设计与应用实践教程》机械工业出版社 第2版王振红 PAGE 1
本文档为【EDA课程设计论文】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_085286
暂无简介~
格式:doc
大小:5MB
软件:Word
页数:20
分类:生产制造
上传时间:2010-09-13
浏览量:42