首页 二进制振幅键控(ASK)调制器与解调器设计

二进制振幅键控(ASK)调制器与解调器设计

举报
开通vip

二进制振幅键控(ASK)调制器与解调器设计二进制振幅键控(ASK)调制器与解调器设计 ------------------------------------------------------------------------------------------------ 二进制振幅键控(ASK)调制器与解调器设计 武汉理工大学《FPGA原理及应用》设计报告 课程设计任务书 学生姓名: 专业班级: 通信 指导教师: 工作单位: 信息工程学院 题目: 二进制振幅键控(ASK)调制器与解调器设计 要求完成的主要任务: (包括课程设计工作量...

二进制振幅键控(ASK)调制器与解调器设计
二进制振幅键控(ASK)调制器与解调器设计 ------------------------------------------------------------------------------------------------ 二进制振幅键控(ASK)调制器与解调器设计 武汉理工大学《FPGA原理及应用》设计报告 课程设计任务书 学生姓名: 专业班级: 通信 指导教师: 工作单位: 信息工程学院 题目: 二进制振幅键控(ASK)调制器与解调器设计 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写 等具体要求) 数字信号对载波振幅调制称为振幅键控即 ASK(Amplitude,Shift Keying)。 ASK有两种实现方法: 1.乘法器实现法 2.键控法 为适应自动发送高速数据的要求,键控法中的电键可以利用各种形式的受基 带信号控制的电子开关来实现,代替电键产生ASK信号,是用基带信号控制与非门的开闭,实现ASK调制,产生信号。 ASK解调方法有两种 1. 同步解调法 2. 包络解调法。 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 时间安排: 指导教师签名: 年 月 日 武汉理工大学《FPGA原理及应用》设计报告 系主任(或责任教师)签名: 年 月 日 目录 摘 要................................................................................................................................. I Abstract .......................................................................................................................... II 1. 绪 论 .......................................................................................................................... 1 1.1 本课题的研究现 状 ........................................................................................ 1 1.2 选题目的意 义 ................................................................................................ 2 2(2ASK系统工作原理及数学模 型 ............................................................................ 2 2.1 2ASK的调制原理及设计方 法 ........................................................................ 2 3.2ASK各个模块的设 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 计 ............................................................................................... 4 3.1 2ASK的调制部 分 ............................................................................................ 4 3.2 2ASK解调部 分 ................................................................................................ 5 4.VHDL程序设 计 ........................................................................................................... 6 4.1 2ASK调制部分程序设 计 ................................................................................ 6 4.2 2ASK解调程序设 计 ........................................................................................ 6 5. 2ASK的仿真结果及分 析 .......................................................................................... 7 5.1Quartus II的介 绍 ............................................................................................. 7 5.2Quartus II的优 点 ............................................................................................. 8 5.3 2ASK调制仿 真 ................................................................................................ 9 5.4 2ASK解调仿 真 .............................................................................................. 10 6.总 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 结 ............................................................................................................... ........... 13 7.参考文 献 ............................................................................................................... ... 14 武汉理工大学《FPGA原理及应用》设计报告 附 录................................................................................................................. ............. 15 武汉理工大学《FPGA原理及应用》设计报告 摘要 从信号传输质量来看,数字系统优于模拟系统,在数字通信网中, 除了考虑抗干扰能力外,还要考虑容量和频率资源利用率等重要技术 指标。在数字传输系统中,数字信号对高频载波进行调制,变成频带 信号,在接收端进行解调,恢复原数字信号对载波的控制分为振幅调 制即振幅键控(ASK)。如今,FPGA在通信领域得到了广泛的应用, 利用FPGA性能优越,使用方便的特点,可以简化振幅调制解调电路 的设计,而且易于反复编写和修改程序。 本次实验运用VHDL语言进行基于FPGA的振幅键控调制电路和 解调电路设计的实现方案,给出了程序设计和仿真结果。完成了二进 制基带数字信号的调制的解调,得到相应的调制信号和解调。 关键词:FPGA 二进制振幅键控 ASK 调制 解调 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ I 武汉理工大学《FPGA原理及应用》设计报告 Abstract From the quality of signal transmission, the digital system is better than analog system, in the digital communication network, in addition to considering the anti-interference ability, but also consider the important technical indexes of capacity and frequency resource utilization. In digital transmission system, the digital signal to modulate the high frequency carrier, to the frequency signal, demodulation at the receiving end to restore the original digital signal, control the carrier amplitude modulation is divided into amplitude shift keying (ASK). Now, FPGA has been widely used in the field of communication, the use of FPGA superior performance, convenient use, it can simplify the design of amplitude modulation and demodulation circuit, but also easy to repeatedly write and modify the program. The experiment use the VHDL language to realize the scheme of modulation circuit and demodulation circuit design of amplitude shift based on FPGA, the program design and the simulation results are given. The completion of the modulation and demodulation of binary baseband digital signal, get the modulation signal and the corresponding demodulation. Keywords: FPGA binary amplitude shift keying ASK modulation —————————————————————————————————————— ------------------------------------------------------------------------------------------------ demodulation II 武汉理工大学《FPGA原理及应用》设计报告 III 武汉理工大学《FPGA原理及应用》设计报告 1. 绪论 1.1 本课题的研究现状 随着时代的发展,用户不再满足于听到声音,而且还要看到图像;通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒介电缆、微波中继和卫星通信等将更多地采用数字传输。数字信号的载波调制是信道编码的一部分,之所以在信源编码和传输通道之间插入信道编码是因为通道及相应的设备对所要传输的数字信号有一定的限制,未经处理的数字信号源不能适应这些限制。由于传输信道的频带资源总是有限的,因此在充分得利用现有资源的前提下,提高传输效率就是通信系统所追求的最重要指标之一。模拟通信很难控制传输效率,最常见到的单边带调幅(SSB)或残留边带调幅(VSB)可以节省近一半的传输频带。由于数字信号只有“0”和“1”两种状态,所以数字调制完全可以理解为像报务员用开关键控制载波的过程,因此数字信号的调制方式一般均为较简单的键控方式。现代通信系统的发展随着 Verilog HDL等设计语言的出现和 ASIC 的应用进入了一个新的阶段。由于大多数信号都是带通型的,所以必须先用数字基带信号对载波进行调节,形成数字调制信号再进行传输。—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 因而,调制技术是实现现代通信的重要手段。本文在研究ASK 系统的基础上,基于 FPGA 设计了它的调制解调仿真实现方案。首先确定了ASK 系统的仿真方案。其次编写了方案所需的程序,调制及解调实现功能。结果表明用 FPGA 控制ASK 系统的实现方法简单,误码率低。提高了数字通信系统的效率,降低了成本。 1 武汉理工大学《FPGA原理及应用》设计报告 1.2 选题目的意义 这个课题是基于FGPA 设计并制作一个2ASK调制解调器,实现数字信号对载波的调制 和解调。通过这个课题理解掌握课题涉及的相关内容,熟练使用相关开发工具软件Quartus II,熟悉数字信号载波调制解调的基本方式。现代通信系统是一个十分复杂的工程系统,通信系统设计研究也是一项十分复杂的技术。由于技术的复杂性,在现代通信技术中,越来越重视采用计算机仿真技术来进行系统。 2(2ASK系统工作原理及数学模型 2.1 2ASK的调制原理及设计方法 数字幅度调制又称幅度键控(ASK),二进制幅度键控记作2ASK。2ASK是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续地输出。有载波输出时表示发送“1”,无载波输出时表示发送“0”。通过“0”和“1”的组合,来发送数据。 2ASK信号的产生方法通常有两种,模拟调制法(相乘器法)和键控法,如下图 (a)为一般的模拟幅度调制方法,用乘法器将基带信号和载波信号相乘来实现的。图(b)是一种数字键控法,键控法是产生—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 2ASK信号的一种方法。在2ASK中,载波的幅度只有两种变化状态,分别对应二进制信息“0”或“1”。一种常用的、也是最简单的二进制振幅键控方式称为通-断键控(On Off Keying)。所以2ASK又称为通断控制(OOK)。最典型的实现方法是用一个电键来控制载波振荡器的输出而获得。其中的开关电路受s(t)控制。图(c)是基带信号和调制信号波形。 2 武汉理工大学《FPGA原理及应用》设计报告 图1 2ASK信号产生的方法及波形 2.2 2ASK解调原理及设计方法 2ASK信号解调的常用方法主要有两种:包络检波法和相干检测法。 包络检波法的原理方框图如图2所示:调制后的2ASK信号先通过一个带通滤波器(BPF),滤除大部分的噪声,并使2ASK信号可完整地通过;经全波整流器横轴下的波形翻转到横轴以上;再经过一个低通滤波器(LPF),低通滤波器的作用是滤除高频杂波,使基带信号(包络)通过;通过抽样判决器,这样就可以还原出基带信号。 图2 2ASK信号的包络解调 定时脉冲S(t) 相干检测法原理方框图如图3所示:相干检测就是同步解调,首先是2ASK信号经过一个带通滤波器,滤除里面的噪声;经相乘器调制信号和 cos????t 相乘会产生两个频率信号,即一个高频信号和一个频率与基带信号频率一样的信号,我 3 武汉理工大学《FPGA原理及应用》设计报告 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 们要得到基带信号,即是要它的低频成分;这样通过低通滤波器滤除高频成分即可得到低频成分也就是基带信号。 图3 2ASK的相干解调 3. 2ASK各个模块的设计 3.1 2ASK的调制模块 2ASK调制的建模方框图如图4所示。图中为数字部分,输出信号为数字信号。其建模思想为: (1)采用数字载波信号数字载波信号产生的方法可以从外部输入,也可以通过高频时钟信号分频得到。 (2)采用键控法:调制在图4中,数字基带信号作为键控信号控制与门来完成ASK 调制。 4 武汉理工大学《FPGA原理及应用》设计报告 图4 2ASK的调制方框图 3.2 2ASK解调模块 解调方框图如图5所示。解调器包括分频器,计数器,寄存器和判决器等。分频器的功能是对时钟信号进行分频得到与发送端数字载波相同的数字载波信号,寄存器的功能是在时钟的上升沿到来时把数字ASK信号存入寄存器,计数器的功能是利用分频器输出的载波信号作为计数器的时钟信号,在其上升沿到来时,对寄存器中的ASK载波个数进行计数,当计数值m小于3时,输出为:“0”,否则则输出为:“1”,判决器的功能是:以数字载波作为判决时钟,对计数器输出信—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 号进行抽样判决,并输出解调后的基带信号。 5 武汉理工大学《FPGA原理及应用》设计报告 图5 2ASK的解调信号 4.VHDL程序设计 4.1 2ASK调制部分程序设计 首先将频率为fc的时钟信号CLK分频产生频率为fc/4的载波信号,以四进制计数器q循环计数,图4.2 所示为键控电路的VHDL 程序设计 流程 快递问题件怎么处理流程河南自建厂房流程下载关于规范招聘需求审批流程制作流程表下载邮件下载流程设计 图。 图6 2ASK解调框图 4.2 2ASK解调程序设计 6 武汉理工大学《FPGA原理及应用》设计报告 图7 2ASK解调框图 5. 2ASK的仿真结果及分析 5.1Quartus II的介绍 Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。Altera Quartus II (3.0 7 武汉理工大学《FPGA原理及应用》设计报告 和更高版本)设计软件是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具。工程师使用同样的低价位—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 工具对 Stratix FPGA进行功能验证和原型设计,又可以设计HardCopy Stratix器件用于批量成品。系统设计者现在能够用Quartus II软件评估HardCopy Stratix器件的性能和功耗,相应地进行最大吞吐量设计。本次实验使用的是Quartus II13.1。 5.2Quartus II的优点 支持MAX7000/MAX3000等乘积项器件,Quartus II设计软件现 在除了支持Altera的APEX 20KE,APEX 20KC, APEX II,ARM的Excalibur嵌入处理器方案,Mercury,FLEX10KE和ACEX1K之外,还支持MAX3000A,MAX7000系列乘 积项器件。MAX3000A和MAX7000设计者现在可 以使用QuartusII设计软件中才有的所有强大的功能。 软件体积缩小,运行速度加快,LogicLock设计流程把性能提升15%,采用快速适配选项缩短编译时间,Quartus?软件能够直接满足特定设计需要,为可编程芯片系统(SOPC)设计提供了全面的设计环境,它是集系统级设计、嵌入式软件开发、可编程逻辑设计于一体的综合性的开发平台。此外,Quartus?软件可以通过与DSP Builder工具、Matlab/Simulink相结合,方便地实现各种DSP应用系统。Quartus?软件还支持LPM/Megafunction宏功能模块库,用户可以充分利用成熟的模块,简化设计的复杂性,加快设计速度。Quartus?软件对第三方EDA具有良好的支持,除了自身具备仿真功能以外,同时也支持第三方的仿真工具,如ModelSim。这也使用户可以在设计流程的各个阶段熟悉地掌握第三方EDA工具。 8 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 武汉理工大学《FPGA原理及应用》设计报告 5.3 2ASK调制仿真 将程序调试好,可以查看调制系统的RTL视图。 图8 2ASK调制仿真 打开创建的VWF文件,将时钟信号等输入信号复制,而Quartus II13.1内部是集成有仿真器的,所以不需要再用外部的仿真器,点击仿真按钮,可得到如下的仿真图。 图9 2ASK调制仿真图 图10 2ASK调制仿真局部放大图 9 武汉理工大学《FPGA原理及应用》设计报告 如图11所示,为生成的流量报告。 图11 2ASK的测试报告 由上面的调制仿真图可以看到,在start信号为高电平时,电路可以正常工作,当基带信号为低电平时,已调信号也为低电平;当高电平为高电平时,已调信号为载波电平,从这可以看出,已调信号的波形受基带信号的振幅控制,所以实现了振幅键控调制。 5.4 2ASK解调仿真 解调模块RTL视图。 10 武汉理工大学《FPGA原理及应用》设计报告 图12 解调RTL视 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 打开创建的VWF文件,将时钟信号等输入信号复制,点击仿真按钮,可得到解调的仿真图。 图13 ASK解调仿真全图 将解调的图展开,得到图14 图14 2ASK解调仿真局部放大图 注:a.在q=11时,m清零。 b.在q=10时,根据m的大小,进行对输出基带信号y的电平的判决。 c.在q为其它时,m计xx(x信号的寄存器)的脉冲数。 11 武汉理工大学《FPGA原理及应用》设计报告 d. 输出的基带信号y滞后输入的调制信号x 10个clk。 如图15所示,为生成的测试报告。 图15 2ASK解调测试报告 由仿真结果可以看出,我们解调出了与基带信号一样的解调波形。与基带信号相比,解调信号会有一点时延。 12 武汉理工大学《FPGA原理及应用》设计报告 6. 总结 初级经济法重点总结下载党员个人总结TXt高中句型全总结.doc高中句型全总结.doc理论力学知识点总结pdf 振幅键控调制和解调在通信电路中广泛使用,应用FPGA完成振幅键控调制解调,具有通用性和实用性,用VHDL程序完成电路的软件设计。 在课程设计过程中,我不断发现错误,不断改正,不断领悟检测—————————————————————————————————————— ------------------------------------------------------------------------------------------------ 调试环节,本身就是在践行“过而能改,善莫大焉”的知行观。这次课程设计终于顺利完成了,在设计中遇到了很多问题,最后在同学的帮助下,终于游逆而解。在今后社会的发展和学习实践过程中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,然后一一进行解决,只有这样,才能成功的做成想做的事,才能在今后的道路上劈荆斩棘,而不是知难而退,那样永远不可能收获成功,收获喜悦,也永远不可能得到社会及他人对你的认可~ 课程设计诚然是一门专业课,给我很多专业知识以及专业技能上的提升,同时又是一门讲道课,一门辩思课,给了我许多道,给了我很多思,给了我莫大的空间。 同时,设计让我感触很深。使我对抽象的理论有了具体的认识。通过这次课程设计,我掌握了Quartus II的基本用法和对2ASK有了更深的理解。 13 武汉理工大学《FPGA原理及应用》设计报告 7.参考文献 1.樊昌信.通信原理教程(第六版).北京:国防工业出版社,2012 2.王振红. FPGA 开发与应用.北京:清华大学出版社,2010 3. 江国强.EDA 技术与应用[M].电子工业出版社,2007。 4.王兴亮,寇宝明.数字通信原理与技术[M].西安:西安电子科技大学出版社,2009。 5.崔良海,徐洁.数据通信技术[M].北京:北京大学出版社, 2009。 14 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ 武汉理工大学《FPGA原理及应用》设计报告 附录 1.2ASK调制程序: library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_ASK is port(clk :in std_logic; start :in std_logic; x :in std_logic; y :out std_logic); end PL_ASK; architecture behav of PL_ASK is signal q:integer range 0 to 3; signal f :std_logic; --系统时钟 --开始调制信号 --基带信号 --调制信号 --分频 计数器 --载波信号 15 武汉理工大学《FPGA原理及应用》设计报告 begin process(clk) begin if clk'event and clk='1' then if start='0' then q<=0; elsif q<=1 then f<='1';q<=q+1; --改变q后面数字 的大小,就可以改变载波信号的占空比 elsif q=3 then f<='0';q<=0; --改变q后面数字的 大小,就可以改变载波信号的频率 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ else f<='0';q<=q+1; end if; end if; end process; y<=x and f; --对基带码进行调制 end behav; 2.2ASK解调程序 library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_ASK2 is port(clk :in std_logic; --系统时钟 start :in std_logic; --同步信号 x :in std_logic; --调制信号 y :out std_logic); --基带信号 16 武汉理工大学《FPGA原理及应用》设计报告 end PL_ASK2; architecture behav of PL_ASK2 is signal q:integer range 0 to 11; --计数器 signal xx:std_logic; --寄存x信号 —————————————————————————————————————— ------------------------------------------------------------------------------------------------ signal m:integer range 0 to 5; --计xx的脉冲数 begin process(clk) --对系统时钟进行q分频, begin if clk'event and clk='1' then xx<=x; --clk上升沿时,把x信号赋给中间信号xx if start='0' then q<=0; --if语句完成q的循环计数 elsif q=11 then q<=0; else q<=q+1; end if; end if; end process; process(xx,q) --此进程完成ASK解调 begin if q=11 then m<=0; --m计数器清零 elsif q=10 then if m<=3 then y<='0'; --if语句通过对m大小,来判决y输出的电平 else y<='1'; end if; elsif xx'event and xx='1'then m<=m+1; --计xx信号的脉冲个数 end if; —————————————————————————————————————— ------------------------------------------------------------------------------------------------ end process; end behav; 17 武汉理工大学《FPGA原理及应用》设计报告 18 ——————————————————————————————————————
本文档为【二进制振幅键控&#40;ASK&#41;调制器与解调器设计】,请使用软件OFFICE或WPS软件打开。作品中的文字与图均可以修改和编辑, 图片更改请在作品中右键图片并更换,文字修改请直接点击文字进行修改,也可以新增和删除文档中的内容。
该文档来自用户分享,如有侵权行为请发邮件ishare@vip.sina.com联系网站客服,我们会及时删除。
[版权声明] 本站所有资料为用户分享产生,若发现您的权利被侵害,请联系客服邮件isharekefu@iask.cn,我们尽快处理。
本作品所展示的图片、画像、字体、音乐的版权可能需版权方额外授权,请谨慎使用。
网站提供的党政主题相关内容(国旗、国徽、党徽..)目的在于配合国家政策宣传,仅限个人学习分享使用,禁止用于任何广告和商用目的。
下载需要: 免费 已有0 人下载
最新资料
资料动态
专题动态
is_995397
暂无简介~
格式:doc
大小:45KB
软件:Word
页数:0
分类:
上传时间:2018-03-16
浏览量:19